Browse code

Native VP9 decoder.

Authors: Ronald S. Bultje <rsbultje gmail com>,
Clement Boesch <u pkh me>

Ronald S. Bultje authored on 2013/10/01 12:03:30
Showing 214 changed files
... ...
@@ -36,6 +36,7 @@ version <next>
36 36
 - make decoding alpha optional for prores, ffv1 and vp6 by setting
37 37
   the skip_alpha flag.
38 38
 - ladspa wrapper filter
39
+- native VP9 decoder
39 40
 
40 41
 
41 42
 version 2.0:
... ...
@@ -1909,6 +1909,7 @@ vp6_decoder_select="h264chroma hpeldsp huffman videodsp vp3dsp"
1909 1909
 vp6a_decoder_select="vp6_decoder"
1910 1910
 vp6f_decoder_select="vp6_decoder"
1911 1911
 vp8_decoder_select="h264pred videodsp"
1912
+vp9_decoder_select="videodsp"
1912 1913
 webp_decoder_select="vp8_decoder"
1913 1914
 wmapro_decoder_select="mdct sinewin"
1914 1915
 wmav1_decoder_select="mdct sinewin"
... ...
@@ -94,7 +94,7 @@ Then pass @code{--enable-libtwolame} to configure to enable it.
94 94
 
95 95
 @section libvpx
96 96
 
97
-FFmpeg can make use of the libvpx library for VP8 encoding.
97
+FFmpeg can make use of the libvpx library for VP8/VP9 encoding.
98 98
 
99 99
 Go to @url{http://www.webmproject.org/} and follow the instructions for
100 100
 installing the library. Then pass @code{--enable-libvpx} to configure to
... ...
@@ -676,6 +676,8 @@ following image formats are supported:
676 676
     @tab fourcc: VP60,VP61,VP62
677 677
 @item VP8                    @tab  E  @tab  X
678 678
     @tab fourcc: VP80, encoding supported through external library libvpx
679
+@item VP9                    @tab  E  @tab  X
680
+    @tab encoding supported through external library libvpx
679 681
 @item Pinnacle TARGA CineWave YUV16 @tab     @tab  X
680 682
     @tab fourcc: Y216
681 683
 @item Prores                 @tab     @tab  X
... ...
@@ -471,6 +471,7 @@ OBJS-$(CONFIG_VP5_DECODER)             += vp5.o vp56.o vp56data.o vp56dsp.o \
471 471
 OBJS-$(CONFIG_VP6_DECODER)             += vp6.o vp56.o vp56data.o vp56dsp.o \
472 472
                                           vp6dsp.o vp56rac.o
473 473
 OBJS-$(CONFIG_VP8_DECODER)             += vp8.o vp8dsp.o vp56rac.o
474
+OBJS-$(CONFIG_VP9_DECODER)             += vp9.o vp9dsp.o vp56rac.o
474 475
 OBJS-$(CONFIG_VPLAYER_DECODER)         += textdec.o ass.o
475 476
 OBJS-$(CONFIG_VQA_DECODER)             += vqavideo.o
476 477
 OBJS-$(CONFIG_WAVPACK_DECODER)         += wavpack.o
... ...
@@ -285,6 +285,7 @@ void avcodec_register_all(void)
285 285
     REGISTER_DECODER(VP6A,              vp6a);
286 286
     REGISTER_DECODER(VP6F,              vp6f);
287 287
     REGISTER_DECODER(VP8,               vp8);
288
+    REGISTER_DECODER(VP9,               vp9);
288 289
     REGISTER_DECODER(VQA,               vqa);
289 290
     REGISTER_DECODER(WEBP,              webp);
290 291
     REGISTER_ENCDEC (WMV1,              wmv1);
291 292
new file mode 100644
... ...
@@ -0,0 +1,3576 @@
0
+/*
1
+ * VP9 compatible video decoder
2
+ *
3
+ * Copyright (C) 2013 Ronald S. Bultje <rsbultje gmail com>
4
+ * Copyright (C) 2013 Clément Bœsch <u pkh me>
5
+ *
6
+ * This file is part of FFmpeg.
7
+ *
8
+ * FFmpeg is free software; you can redistribute it and/or
9
+ * modify it under the terms of the GNU Lesser General Public
10
+ * License as published by the Free Software Foundation; either
11
+ * version 2.1 of the License, or (at your option) any later version.
12
+ *
13
+ * FFmpeg is distributed in the hope that it will be useful,
14
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
15
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
16
+ * Lesser General Public License for more details.
17
+ *
18
+ * You should have received a copy of the GNU Lesser General Public
19
+ * License along with FFmpeg; if not, write to the Free Software
20
+ * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
21
+ */
22
+
23
+#include "avcodec.h"
24
+#include "get_bits.h"
25
+#include "internal.h"
26
+#include "videodsp.h"
27
+#include "vp56.h"
28
+#include "vp9.h"
29
+#include "vp9data.h"
30
+#include "vp9dsp.h"
31
+#include "libavutil/avassert.h"
32
+
33
+#define VP9_SYNCCODE 0x498342
34
+
35
+enum CompPredMode {
36
+    PRED_SINGLEREF,
37
+    PRED_COMPREF,
38
+    PRED_SWITCHABLE,
39
+};
40
+
41
+enum BlockLevel {
42
+    BL_64X64,
43
+    BL_32X32,
44
+    BL_16X16,
45
+    BL_8X8,
46
+};
47
+
48
+enum BlockSize {
49
+    BS_64x64,
50
+    BS_64x32,
51
+    BS_32x64,
52
+    BS_32x32,
53
+    BS_32x16,
54
+    BS_16x32,
55
+    BS_16x16,
56
+    BS_16x8,
57
+    BS_8x16,
58
+    BS_8x8,
59
+    BS_8x4,
60
+    BS_4x8,
61
+    BS_4x4,
62
+    N_BS_SIZES,
63
+};
64
+
65
+struct VP9mvrefPair {
66
+    VP56mv mv[2];
67
+    int8_t ref[2];
68
+};
69
+
70
+struct VP9Filter {
71
+    uint8_t level[8 * 8];
72
+    uint8_t /* bit=col */ mask[2 /* 0=y, 1=uv */][2 /* 0=col, 1=row */]
73
+                              [8 /* rows */][4 /* 0=16, 1=8, 2=4, 3=inner4 */];
74
+};
75
+
76
+typedef struct VP9Block {
77
+    uint8_t seg_id, intra, comp, ref[2], mode[4], uvmode, skip;
78
+    enum FilterMode filter;
79
+    VP56mv mv[4 /* b_idx */][2 /* ref */];
80
+    enum BlockSize bs;
81
+    enum TxfmMode tx, uvtx;
82
+
83
+    int row, row7, col, col7;
84
+    uint8_t *dst[3];
85
+    ptrdiff_t y_stride, uv_stride;
86
+} VP9Block;
87
+
88
+typedef struct VP9Context {
89
+    VP9DSPContext dsp;
90
+    VideoDSPContext vdsp;
91
+    GetBitContext gb;
92
+    VP56RangeCoder c;
93
+    VP56RangeCoder *c_b;
94
+    unsigned c_b_size;
95
+    VP9Block b;
96
+
97
+    // bitstream header
98
+    uint8_t profile;
99
+    uint8_t keyframe, last_keyframe;
100
+    uint8_t invisible, last_invisible;
101
+    uint8_t use_last_frame_mvs;
102
+    uint8_t errorres;
103
+    uint8_t colorspace;
104
+    uint8_t fullrange;
105
+    uint8_t intraonly;
106
+    uint8_t resetctx;
107
+    uint8_t refreshrefmask;
108
+    uint8_t highprecisionmvs;
109
+    enum FilterMode filtermode;
110
+    uint8_t allowcompinter;
111
+    uint8_t fixcompref;
112
+    uint8_t refreshctx;
113
+    uint8_t parallelmode;
114
+    uint8_t framectxid;
115
+    uint8_t refidx[3];
116
+    uint8_t signbias[3];
117
+    uint8_t varcompref[2];
118
+    AVFrame *refs[8], *f, *fb[10];
119
+
120
+    struct {
121
+        uint8_t level;
122
+        int8_t sharpness;
123
+        uint8_t lim_lut[64];
124
+        uint8_t mblim_lut[64];
125
+    } filter;
126
+    struct {
127
+        uint8_t enabled;
128
+        int8_t mode[2];
129
+        int8_t ref[4];
130
+    } lf_delta;
131
+    uint8_t yac_qi;
132
+    int8_t ydc_qdelta, uvdc_qdelta, uvac_qdelta;
133
+    uint8_t lossless;
134
+    struct {
135
+        uint8_t enabled;
136
+        uint8_t temporal;
137
+        uint8_t absolute_vals;
138
+        uint8_t update_map;
139
+        struct {
140
+            uint8_t q_enabled;
141
+            uint8_t lf_enabled;
142
+            uint8_t ref_enabled;
143
+            uint8_t skip_enabled;
144
+            uint8_t ref_val;
145
+            int16_t q_val;
146
+            int8_t lf_val;
147
+            int16_t qmul[2][2];
148
+            uint8_t lflvl[4][2];
149
+        } feat[8];
150
+    } segmentation;
151
+    struct {
152
+        unsigned log2_tile_cols, log2_tile_rows;
153
+        unsigned tile_cols, tile_rows;
154
+        unsigned tile_row_start, tile_row_end, tile_col_start, tile_col_end;
155
+    } tiling;
156
+    unsigned sb_cols, sb_rows, rows, cols;
157
+    struct {
158
+        prob_context p;
159
+        uint8_t coef[4][2][2][6][6][3];
160
+    } prob_ctx[4];
161
+    struct {
162
+        prob_context p;
163
+        uint8_t coef[4][2][2][6][6][11];
164
+        uint8_t seg[7];
165
+        uint8_t segpred[3];
166
+    } prob;
167
+    struct {
168
+        unsigned y_mode[4][10];
169
+        unsigned uv_mode[10][10];
170
+        unsigned filter[4][3];
171
+        unsigned mv_mode[7][4];
172
+        unsigned intra[4][2];
173
+        unsigned comp[5][2];
174
+        unsigned single_ref[5][2][2];
175
+        unsigned comp_ref[5][2];
176
+        unsigned tx32p[2][4];
177
+        unsigned tx16p[2][3];
178
+        unsigned tx8p[2][2];
179
+        unsigned skip[3][2];
180
+        unsigned mv_joint[4];
181
+        struct {
182
+            unsigned sign[2];
183
+            unsigned classes[11];
184
+            unsigned class0[2];
185
+            unsigned bits[10][2];
186
+            unsigned class0_fp[2][4];
187
+            unsigned fp[4];
188
+            unsigned class0_hp[2];
189
+            unsigned hp[2];
190
+        } mv_comp[2];
191
+        unsigned partition[4][4][4];
192
+        unsigned coef[4][2][2][6][6][3];
193
+        unsigned eob[4][2][2][6][6][2];
194
+    } counts;
195
+    enum TxfmMode txfmmode;
196
+    enum CompPredMode comppredmode;
197
+
198
+    // contextual (left/above) cache
199
+    uint8_t left_partition_ctx[8], *above_partition_ctx;
200
+    uint8_t left_mode_ctx[16], *above_mode_ctx;
201
+    // FIXME maybe merge some of the below in a flags field?
202
+    uint8_t left_y_nnz_ctx[16], *above_y_nnz_ctx;
203
+    uint8_t left_uv_nnz_ctx[2][8], *above_uv_nnz_ctx[2];
204
+    uint8_t left_skip_ctx[8], *above_skip_ctx; // 1bit
205
+    uint8_t left_txfm_ctx[8], *above_txfm_ctx; // 2bit
206
+    uint8_t left_segpred_ctx[8], *above_segpred_ctx; // 1bit
207
+    uint8_t left_intra_ctx[8], *above_intra_ctx; // 1bit
208
+    uint8_t left_comp_ctx[8], *above_comp_ctx; // 1bit
209
+    uint8_t left_ref_ctx[8], *above_ref_ctx; // 2bit
210
+    uint8_t left_filter_ctx[8], *above_filter_ctx;
211
+    VP56mv left_mv_ctx[16][2], (*above_mv_ctx)[2];
212
+
213
+    // whole-frame cache
214
+    uint8_t *intra_pred_data[3];
215
+    uint8_t *segmentation_map;
216
+    struct VP9mvrefPair *mv[2];
217
+    struct VP9Filter *lflvl;
218
+    DECLARE_ALIGNED(32, uint8_t, edge_emu_buffer)[71*80];
219
+
220
+    // block reconstruction intermediates
221
+    DECLARE_ALIGNED(32, int16_t, block)[4096];
222
+    DECLARE_ALIGNED(32, int16_t, uvblock)[2][1024];
223
+    uint8_t eob[256];
224
+    uint8_t uveob[2][64];
225
+    VP56mv min_mv, max_mv;
226
+    DECLARE_ALIGNED(32, uint8_t, tmp_y)[64*64];
227
+    DECLARE_ALIGNED(32, uint8_t, tmp_uv)[2][32*32];
228
+} VP9Context;
229
+
230
+static const uint8_t bwh_tab[2][N_BS_SIZES][2] = {
231
+    {
232
+        { 16, 16 }, { 16, 8 }, { 8, 16 }, { 8, 8 }, { 8, 4 }, { 4, 8 },
233
+        { 4, 4 }, { 4, 2 }, { 2, 4 }, { 2, 2 }, { 2, 1 }, { 1, 2 }, { 1, 1 },
234
+    }, {
235
+        { 8, 8 }, { 8, 4 }, { 4, 8 }, { 4, 4 }, { 4, 2 }, { 2, 4 },
236
+        { 2, 2 }, { 2, 1 }, { 1, 2 }, { 1, 1 }, { 1, 1 }, { 1, 1 }, { 1, 1 },
237
+    }
238
+};
239
+
240
+static int update_size(AVCodecContext *ctx, int w, int h)
241
+{
242
+    VP9Context *s = ctx->priv_data;
243
+    uint8_t *p;
244
+
245
+    if (s->above_partition_ctx && w == ctx->width && h == ctx->height)
246
+        return 0;
247
+
248
+    ctx->width  = w;
249
+    ctx->height = h;
250
+    s->sb_cols  = (w + 63) >> 6;
251
+    s->sb_rows  = (h + 63) >> 6;
252
+    s->cols     = (w + 7) >> 3;
253
+    s->rows     = (h + 7) >> 3;
254
+
255
+#define assign(var, type, n) var = (type) p; p += s->sb_cols * n * sizeof(*var)
256
+    av_free(s->above_partition_ctx);
257
+    p = av_malloc(s->sb_cols * (240 + sizeof(*s->lflvl) + 16 * sizeof(*s->above_mv_ctx) +
258
+                                64 * s->sb_rows * (1 + sizeof(*s->mv[0]) * 2)));
259
+    if (!p)
260
+        return AVERROR(ENOMEM);
261
+    assign(s->above_partition_ctx, uint8_t *,              8);
262
+    assign(s->above_skip_ctx,      uint8_t *,              8);
263
+    assign(s->above_txfm_ctx,      uint8_t *,              8);
264
+    assign(s->above_mode_ctx,      uint8_t *,             16);
265
+    assign(s->above_y_nnz_ctx,     uint8_t *,             16);
266
+    assign(s->above_uv_nnz_ctx[0], uint8_t *,              8);
267
+    assign(s->above_uv_nnz_ctx[1], uint8_t *,              8);
268
+    assign(s->intra_pred_data[0],  uint8_t *,             64);
269
+    assign(s->intra_pred_data[1],  uint8_t *,             32);
270
+    assign(s->intra_pred_data[2],  uint8_t *,             32);
271
+    assign(s->above_segpred_ctx,   uint8_t *,              8);
272
+    assign(s->above_intra_ctx,     uint8_t *,              8);
273
+    assign(s->above_comp_ctx,      uint8_t *,              8);
274
+    assign(s->above_ref_ctx,       uint8_t *,              8);
275
+    assign(s->above_filter_ctx,    uint8_t *,              8);
276
+    assign(s->lflvl,               struct VP9Filter *,     1);
277
+    assign(s->above_mv_ctx,        VP56mv(*)[2],          16);
278
+    assign(s->segmentation_map,    uint8_t *,             64 * s->sb_rows);
279
+    assign(s->mv[0],               struct VP9mvrefPair *, 64 * s->sb_rows);
280
+    assign(s->mv[1],               struct VP9mvrefPair *, 64 * s->sb_rows);
281
+#undef assign
282
+
283
+    return 0;
284
+}
285
+
286
+// for some reason the sign bit is at the end, not the start, of a bit sequence
287
+static av_always_inline int get_sbits_inv(GetBitContext *gb, int n)
288
+{
289
+    int v = get_bits(gb, n);
290
+    return get_bits1(gb) ? -v : v;
291
+}
292
+
293
+static av_always_inline int inv_recenter_nonneg(int v, int m)
294
+{
295
+    return v > 2 * m ? v : v & 1 ? m - ((v + 1) >> 1) : m + (v >> 1);
296
+}
297
+
298
+// differential forward probability updates
299
+static int update_prob(VP56RangeCoder *c, int p)
300
+{
301
+    static const int inv_map_table[254] = {
302
+          7,  20,  33,  46,  59,  72,  85,  98, 111, 124, 137, 150, 163, 176,
303
+        189, 202, 215, 228, 241, 254,   1,   2,   3,   4,   5,   6,   8,   9,
304
+         10,  11,  12,  13,  14,  15,  16,  17,  18,  19,  21,  22,  23,  24,
305
+         25,  26,  27,  28,  29,  30,  31,  32,  34,  35,  36,  37,  38,  39,
306
+         40,  41,  42,  43,  44,  45,  47,  48,  49,  50,  51,  52,  53,  54,
307
+         55,  56,  57,  58,  60,  61,  62,  63,  64,  65,  66,  67,  68,  69,
308
+         70,  71,  73,  74,  75,  76,  77,  78,  79,  80,  81,  82,  83,  84,
309
+         86,  87,  88,  89,  90,  91,  92,  93,  94,  95,  96,  97,  99, 100,
310
+        101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 112, 113, 114, 115,
311
+        116, 117, 118, 119, 120, 121, 122, 123, 125, 126, 127, 128, 129, 130,
312
+        131, 132, 133, 134, 135, 136, 138, 139, 140, 141, 142, 143, 144, 145,
313
+        146, 147, 148, 149, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160,
314
+        161, 162, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175,
315
+        177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 190, 191,
316
+        192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 203, 204, 205, 206,
317
+        207, 208, 209, 210, 211, 212, 213, 214, 216, 217, 218, 219, 220, 221,
318
+        222, 223, 224, 225, 226, 227, 229, 230, 231, 232, 233, 234, 235, 236,
319
+        237, 238, 239, 240, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251,
320
+        252, 253,
321
+    };
322
+    int d;
323
+
324
+    /* This code is trying to do a differential probability update. For a
325
+     * current probability A in the range [1, 255], the difference to a new
326
+     * probability of any value can be expressed differentially as 1-A,255-A
327
+     * where some part of this (absolute range) exists both in positive as
328
+     * well as the negative part, whereas another part only exists in one
329
+     * half. We're trying to code this shared part differentially, i.e.
330
+     * times two where the value of the lowest bit specifies the sign, and
331
+     * the single part is then coded on top of this. This absolute difference
332
+     * then again has a value of [0,254], but a bigger value in this range
333
+     * indicates that we're further away from the original value A, so we
334
+     * can code this as a VLC code, since higher values are increasingly
335
+     * unlikely. The first 20 values in inv_map_table[] allow 'cheap, rough'
336
+     * updates vs. the 'fine, exact' updates further down the range, which
337
+     * adds one extra dimension to this differential update model. */
338
+
339
+    if (!vp8_rac_get(c)) {
340
+        d = vp8_rac_get_uint(c, 4) + 0;
341
+    } else if (!vp8_rac_get(c)) {
342
+        d = vp8_rac_get_uint(c, 4) + 16;
343
+    } else if (!vp8_rac_get(c)) {
344
+        d = vp8_rac_get_uint(c, 5) + 32;
345
+    } else {
346
+        d = vp8_rac_get_uint(c, 7);
347
+        if (d >= 65)
348
+            d = (d << 1) - 65 + vp8_rac_get(c);
349
+        d += 64;
350
+    }
351
+
352
+    return p <= 128 ? 1 + inv_recenter_nonneg(inv_map_table[d], p - 1) :
353
+                    255 - inv_recenter_nonneg(inv_map_table[d], 255 - p);
354
+}
355
+
356
+static int decode_frame_header(AVCodecContext *ctx,
357
+                               const uint8_t *data, int size, int *ref)
358
+{
359
+    VP9Context *s = ctx->priv_data;
360
+    int c, i, j, k, l, m, n, w, h, max, size2, res, sharp;
361
+    const uint8_t *data2;
362
+
363
+    /* general header */
364
+    if ((res = init_get_bits8(&s->gb, data, size)) < 0) {
365
+        av_log(ctx, AV_LOG_ERROR, "Failed to intialize bitstream reader\n");
366
+        return res;
367
+    }
368
+    if (get_bits(&s->gb, 2) != 0x2) { // frame marker
369
+        av_log(ctx, AV_LOG_ERROR, "Invalid frame marker\n");
370
+        return AVERROR_INVALIDDATA;
371
+    }
372
+    s->profile = get_bits1(&s->gb);
373
+    if (get_bits1(&s->gb)) { // reserved bit
374
+        av_log(ctx, AV_LOG_ERROR, "Reserved bit should be zero\n");
375
+        return AVERROR_INVALIDDATA;
376
+    }
377
+    if (get_bits1(&s->gb)) {
378
+        *ref = get_bits(&s->gb, 3);
379
+        return 0;
380
+    }
381
+    s->last_keyframe  = s->keyframe;
382
+    s->keyframe       = !get_bits1(&s->gb);
383
+    s->last_invisible = s->invisible;
384
+    s->invisible      = !get_bits1(&s->gb);
385
+    s->errorres       = get_bits1(&s->gb);
386
+    // FIXME disable this upon resolution change
387
+    s->use_last_frame_mvs = !s->errorres && !s->last_invisible;
388
+    if (s->keyframe) {
389
+        if (get_bits_long(&s->gb, 24) != VP9_SYNCCODE) { // synccode
390
+            av_log(ctx, AV_LOG_ERROR, "Invalid sync code\n");
391
+            return AVERROR_INVALIDDATA;
392
+        }
393
+        s->colorspace = get_bits(&s->gb, 3);
394
+        if (s->colorspace == 7) { // RGB = profile 1
395
+            av_log(ctx, AV_LOG_ERROR, "RGB not supported in profile 0\n");
396
+            return AVERROR_INVALIDDATA;
397
+        }
398
+        s->fullrange  = get_bits1(&s->gb);
399
+        // for profile 1, here follows the subsampling bits
400
+        s->refreshrefmask = 0xff;
401
+        w = get_bits(&s->gb, 16) + 1;
402
+        h = get_bits(&s->gb, 16) + 1;
403
+        if (get_bits1(&s->gb)) // display size
404
+            skip_bits(&s->gb, 32);
405
+    } else {
406
+        s->intraonly  = s->invisible ? get_bits1(&s->gb) : 0;
407
+        s->resetctx   = s->errorres ? 0 : get_bits(&s->gb, 2);
408
+        if (s->intraonly) {
409
+            if (get_bits_long(&s->gb, 24) != VP9_SYNCCODE) { // synccode
410
+                av_log(ctx, AV_LOG_ERROR, "Invalid sync code\n");
411
+                return AVERROR_INVALIDDATA;
412
+            }
413
+            s->refreshrefmask = get_bits(&s->gb, 8);
414
+            w = get_bits(&s->gb, 16) + 1;
415
+            h = get_bits(&s->gb, 16) + 1;
416
+            if (get_bits1(&s->gb)) // display size
417
+                skip_bits(&s->gb, 32);
418
+        } else {
419
+            s->refreshrefmask = get_bits(&s->gb, 8);
420
+            s->refidx[0]      = get_bits(&s->gb, 3);
421
+            s->signbias[0]    = get_bits1(&s->gb);
422
+            s->refidx[1]      = get_bits(&s->gb, 3);
423
+            s->signbias[1]    = get_bits1(&s->gb);
424
+            s->refidx[2]      = get_bits(&s->gb, 3);
425
+            s->signbias[2]    = get_bits1(&s->gb);
426
+            if (!s->refs[s->refidx[0]] || !s->refs[s->refidx[1]] ||
427
+                !s->refs[s->refidx[2]]) {
428
+                av_log(ctx, AV_LOG_ERROR, "Not all references are available\n");
429
+                return AVERROR_INVALIDDATA;
430
+            }
431
+            if (get_bits1(&s->gb)) {
432
+                w = s->refs[s->refidx[0]]->width;
433
+                h = s->refs[s->refidx[0]]->height;
434
+            } else if (get_bits1(&s->gb)) {
435
+                w = s->refs[s->refidx[1]]->width;
436
+                h = s->refs[s->refidx[1]]->height;
437
+            } else if (get_bits1(&s->gb)) {
438
+                w = s->refs[s->refidx[2]]->width;
439
+                h = s->refs[s->refidx[2]]->height;
440
+            } else {
441
+                w = get_bits(&s->gb, 16) + 1;
442
+                h = get_bits(&s->gb, 16) + 1;
443
+            }
444
+            if (get_bits1(&s->gb)) // display size
445
+                skip_bits(&s->gb, 32);
446
+            s->highprecisionmvs = get_bits1(&s->gb);
447
+            s->filtermode = get_bits1(&s->gb) ? FILTER_SWITCHABLE :
448
+                                                get_bits(&s->gb, 2);
449
+            s->allowcompinter = s->signbias[0] != s->signbias[1] ||
450
+                                s->signbias[0] != s->signbias[2];
451
+            if (s->allowcompinter) {
452
+                if (s->signbias[0] == s->signbias[1]) {
453
+                    s->fixcompref    = 2;
454
+                    s->varcompref[0] = 0;
455
+                    s->varcompref[1] = 1;
456
+                } else if (s->signbias[0] == s->signbias[2]) {
457
+                    s->fixcompref    = 1;
458
+                    s->varcompref[0] = 0;
459
+                    s->varcompref[1] = 2;
460
+                } else {
461
+                    s->fixcompref    = 0;
462
+                    s->varcompref[0] = 1;
463
+                    s->varcompref[1] = 2;
464
+                }
465
+            }
466
+        }
467
+    }
468
+    s->refreshctx   = s->errorres ? 0 : get_bits1(&s->gb);
469
+    s->parallelmode = s->errorres ? 1 : get_bits1(&s->gb);
470
+    s->framectxid   = c = get_bits(&s->gb, 2);
471
+
472
+    /* loopfilter header data */
473
+    s->filter.level = get_bits(&s->gb, 6);
474
+    sharp = get_bits(&s->gb, 3);
475
+    // if sharpness changed, reinit lim/mblim LUTs. if it didn't change, keep
476
+    // the old cache values since they are still valid
477
+    if (s->filter.sharpness != sharp)
478
+        memset(s->filter.lim_lut, 0, sizeof(s->filter.lim_lut));
479
+    s->filter.sharpness = sharp;
480
+    if ((s->lf_delta.enabled = get_bits1(&s->gb))) {
481
+        if (get_bits1(&s->gb)) {
482
+            for (i = 0; i < 4; i++)
483
+                if (get_bits1(&s->gb))
484
+                    s->lf_delta.ref[i] = get_sbits_inv(&s->gb, 6);
485
+            for (i = 0; i < 2; i++)
486
+                if (get_bits1(&s->gb))
487
+                    s->lf_delta.mode[i] = get_sbits_inv(&s->gb, 6);
488
+        }
489
+    } else {
490
+        memset(&s->lf_delta, 0, sizeof(s->lf_delta));
491
+    }
492
+
493
+    /* quantization header data */
494
+    s->yac_qi      = get_bits(&s->gb, 8);
495
+    s->ydc_qdelta  = get_bits1(&s->gb) ? get_sbits_inv(&s->gb, 4) : 0;
496
+    s->uvdc_qdelta = get_bits1(&s->gb) ? get_sbits_inv(&s->gb, 4) : 0;
497
+    s->uvac_qdelta = get_bits1(&s->gb) ? get_sbits_inv(&s->gb, 4) : 0;
498
+    s->lossless    = s->yac_qi == 0 && s->ydc_qdelta == 0 &&
499
+                     s->uvdc_qdelta == 0 && s->uvac_qdelta == 0;
500
+
501
+    /* segmentation header info */
502
+    if ((s->segmentation.enabled = get_bits1(&s->gb))) {
503
+        if ((s->segmentation.update_map = get_bits1(&s->gb))) {
504
+            for (i = 0; i < 7; i++)
505
+                s->prob.seg[i] = get_bits1(&s->gb) ?
506
+                                 get_bits(&s->gb, 8) : 255;
507
+            if ((s->segmentation.temporal = get_bits1(&s->gb)))
508
+                for (i = 0; i < 3; i++)
509
+                    s->prob.segpred[i] = get_bits1(&s->gb) ?
510
+                                         get_bits(&s->gb, 8) : 255;
511
+        }
512
+
513
+        if (get_bits1(&s->gb)) {
514
+            s->segmentation.absolute_vals = get_bits1(&s->gb);
515
+            for (i = 0; i < 8; i++) {
516
+                if ((s->segmentation.feat[i].q_enabled = get_bits1(&s->gb)))
517
+                    s->segmentation.feat[i].q_val = get_sbits_inv(&s->gb, 8);
518
+                if ((s->segmentation.feat[i].lf_enabled = get_bits1(&s->gb)))
519
+                    s->segmentation.feat[i].lf_val = get_sbits_inv(&s->gb, 6);
520
+                if ((s->segmentation.feat[i].ref_enabled = get_bits1(&s->gb)))
521
+                    s->segmentation.feat[i].ref_val = get_bits(&s->gb, 2);
522
+                s->segmentation.feat[i].skip_enabled = get_bits1(&s->gb);
523
+            }
524
+        }
525
+    } else {
526
+        s->segmentation.feat[0].q_enabled    = 0;
527
+        s->segmentation.feat[0].lf_enabled   = 0;
528
+        s->segmentation.feat[0].skip_enabled = 0;
529
+        s->segmentation.feat[0].ref_enabled  = 0;
530
+    }
531
+
532
+    // set qmul[] based on Y/UV, AC/DC and segmentation Q idx deltas
533
+    for (i = 0; i < (s->segmentation.enabled ? 8 : 1); i++) {
534
+        int qyac, qydc, quvac, quvdc, lflvl, sh;
535
+
536
+        if (s->segmentation.feat[i].q_enabled) {
537
+            if (s->segmentation.absolute_vals)
538
+                qyac = s->segmentation.feat[i].q_val;
539
+            else
540
+                qyac = s->yac_qi + s->segmentation.feat[i].q_val;
541
+        } else {
542
+            qyac  = s->yac_qi;
543
+        }
544
+        qydc  = av_clip_uintp2(qyac + s->ydc_qdelta, 8);
545
+        quvdc = av_clip_uintp2(qyac + s->uvdc_qdelta, 8);
546
+        quvac = av_clip_uintp2(qyac + s->uvac_qdelta, 8);
547
+        qyac  = av_clip_uintp2(qyac, 8);
548
+
549
+        s->segmentation.feat[i].qmul[0][0] = vp9_dc_qlookup[qydc];
550
+        s->segmentation.feat[i].qmul[0][1] = vp9_ac_qlookup[qyac];
551
+        s->segmentation.feat[i].qmul[1][0] = vp9_dc_qlookup[quvdc];
552
+        s->segmentation.feat[i].qmul[1][1] = vp9_ac_qlookup[quvac];
553
+
554
+        sh = s->filter.level >= 32;
555
+        if (s->segmentation.feat[i].lf_enabled) {
556
+            if (s->segmentation.absolute_vals)
557
+                lflvl = s->segmentation.feat[i].lf_val;
558
+            else
559
+                lflvl = s->filter.level + s->segmentation.feat[i].lf_val;
560
+        } else {
561
+            lflvl  = s->filter.level;
562
+        }
563
+        s->segmentation.feat[i].lflvl[0][0] =
564
+        s->segmentation.feat[i].lflvl[0][1] =
565
+            av_clip_uintp2(lflvl + (s->lf_delta.ref[0] << sh), 6);
566
+        for (j = 1; j < 4; j++) {
567
+            s->segmentation.feat[i].lflvl[j][0] =
568
+                av_clip_uintp2(lflvl + ((s->lf_delta.ref[j] +
569
+                                         s->lf_delta.mode[0]) << sh), 6);
570
+            s->segmentation.feat[i].lflvl[j][1] =
571
+                av_clip_uintp2(lflvl + ((s->lf_delta.ref[j] +
572
+                                         s->lf_delta.mode[1]) << sh), 6);
573
+        }
574
+    }
575
+
576
+    /* tiling info */
577
+    if ((res = update_size(ctx, w, h)) < 0) {
578
+        av_log(ctx, AV_LOG_ERROR, "Failed to initialize decoder for %dx%d\n", w, h);
579
+        return res;
580
+    }
581
+    for (s->tiling.log2_tile_cols = 0;
582
+         (s->sb_cols >> s->tiling.log2_tile_cols) > 64;
583
+         s->tiling.log2_tile_cols++) ;
584
+    for (max = 0; (s->sb_cols >> max) >= 4; max++) ;
585
+    max = FFMAX(0, max - 1);
586
+    while (max > s->tiling.log2_tile_cols) {
587
+        if (get_bits1(&s->gb))
588
+            s->tiling.log2_tile_cols++;
589
+        else
590
+            break;
591
+    }
592
+    s->tiling.log2_tile_rows = decode012(&s->gb);
593
+    s->tiling.tile_rows = 1 << s->tiling.log2_tile_rows;
594
+    if (s->tiling.tile_cols != (1 << s->tiling.log2_tile_cols)) {
595
+        s->tiling.tile_cols = 1 << s->tiling.log2_tile_cols;
596
+        s->c_b = av_fast_realloc(s->c_b, &s->c_b_size,
597
+                                 sizeof(VP56RangeCoder) * s->tiling.tile_cols);
598
+        if (!s->c_b) {
599
+            av_log(ctx, AV_LOG_ERROR, "Ran out of memory during range coder init\n");
600
+            return AVERROR(ENOMEM);
601
+        }
602
+    }
603
+
604
+    if (s->keyframe || s->errorres || s->intraonly) {
605
+        s->prob_ctx[0].p = s->prob_ctx[1].p = s->prob_ctx[2].p =
606
+                           s->prob_ctx[3].p = vp9_default_probs;
607
+        memcpy(s->prob_ctx[0].coef, vp9_default_coef_probs,
608
+               sizeof(vp9_default_coef_probs));
609
+        memcpy(s->prob_ctx[1].coef, vp9_default_coef_probs,
610
+               sizeof(vp9_default_coef_probs));
611
+        memcpy(s->prob_ctx[2].coef, vp9_default_coef_probs,
612
+               sizeof(vp9_default_coef_probs));
613
+        memcpy(s->prob_ctx[3].coef, vp9_default_coef_probs,
614
+               sizeof(vp9_default_coef_probs));
615
+    }
616
+
617
+    // next 16 bits is size of the rest of the header (arith-coded)
618
+    size2 = get_bits(&s->gb, 16);
619
+    data2 = align_get_bits(&s->gb);
620
+    if (size2 > size - (data2 - data)) {
621
+        av_log(ctx, AV_LOG_ERROR, "Invalid compressed header size\n");
622
+        return AVERROR_INVALIDDATA;
623
+    }
624
+    ff_vp56_init_range_decoder(&s->c, data2, size2);
625
+    if (vp56_rac_get_prob_branchy(&s->c, 128)) { // marker bit
626
+        av_log(ctx, AV_LOG_ERROR, "Marker bit was set\n");
627
+        return AVERROR_INVALIDDATA;
628
+    }
629
+
630
+    if (s->keyframe || s->intraonly) {
631
+        memset(s->counts.coef, 0, sizeof(s->counts.coef) + sizeof(s->counts.eob));
632
+    } else {
633
+        memset(&s->counts, 0, sizeof(s->counts));
634
+    }
635
+    // FIXME is it faster to not copy here, but do it down in the fw updates
636
+    // as explicit copies if the fw update is missing (and skip the copy upon
637
+    // fw update)?
638
+    s->prob.p = s->prob_ctx[c].p;
639
+
640
+    // txfm updates
641
+    if (s->lossless) {
642
+        s->txfmmode = TX_4X4;
643
+    } else {
644
+        s->txfmmode = vp8_rac_get_uint(&s->c, 2);
645
+        if (s->txfmmode == 3)
646
+            s->txfmmode += vp8_rac_get(&s->c);
647
+
648
+        if (s->txfmmode == TX_SWITCHABLE) {
649
+            for (i = 0; i < 2; i++)
650
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
651
+                    s->prob.p.tx8p[i] = update_prob(&s->c, s->prob.p.tx8p[i]);
652
+            for (i = 0; i < 2; i++)
653
+                for (j = 0; j < 2; j++)
654
+                    if (vp56_rac_get_prob_branchy(&s->c, 252))
655
+                        s->prob.p.tx16p[i][j] =
656
+                            update_prob(&s->c, s->prob.p.tx16p[i][j]);
657
+            for (i = 0; i < 2; i++)
658
+                for (j = 0; j < 3; j++)
659
+                    if (vp56_rac_get_prob_branchy(&s->c, 252))
660
+                        s->prob.p.tx32p[i][j] =
661
+                            update_prob(&s->c, s->prob.p.tx32p[i][j]);
662
+        }
663
+    }
664
+
665
+    // coef updates
666
+    for (i = 0; i < 4; i++) {
667
+        uint8_t (*ref)[2][6][6][3] = s->prob_ctx[c].coef[i];
668
+        if (vp8_rac_get(&s->c)) {
669
+            for (j = 0; j < 2; j++)
670
+                for (k = 0; k < 2; k++)
671
+                    for (l = 0; l < 6; l++)
672
+                        for (m = 0; m < 6; m++) {
673
+                            uint8_t *p = s->prob.coef[i][j][k][l][m];
674
+                            uint8_t *r = ref[j][k][l][m];
675
+                            if (m >= 3 && l == 0) // dc only has 3 pt
676
+                                break;
677
+                            for (n = 0; n < 3; n++) {
678
+                                if (vp56_rac_get_prob_branchy(&s->c, 252)) {
679
+                                    p[n] = update_prob(&s->c, r[n]);
680
+                                } else {
681
+                                    p[n] = r[n];
682
+                                }
683
+                            }
684
+                            p[3] = 0;
685
+                        }
686
+        } else {
687
+            for (j = 0; j < 2; j++)
688
+                for (k = 0; k < 2; k++)
689
+                    for (l = 0; l < 6; l++)
690
+                        for (m = 0; m < 6; m++) {
691
+                            uint8_t *p = s->prob.coef[i][j][k][l][m];
692
+                            uint8_t *r = ref[j][k][l][m];
693
+                            if (m > 3 && l == 0) // dc only has 3 pt
694
+                                break;
695
+                            memcpy(p, r, 3);
696
+                            p[3] = 0;
697
+                        }
698
+        }
699
+        if (s->txfmmode == i)
700
+            break;
701
+    }
702
+
703
+    // mode updates
704
+    for (i = 0; i < 3; i++)
705
+        if (vp56_rac_get_prob_branchy(&s->c, 252))
706
+            s->prob.p.skip[i] = update_prob(&s->c, s->prob.p.skip[i]);
707
+    if (!s->keyframe && !s->intraonly) {
708
+        for (i = 0; i < 7; i++)
709
+            for (j = 0; j < 3; j++)
710
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
711
+                    s->prob.p.mv_mode[i][j] =
712
+                        update_prob(&s->c, s->prob.p.mv_mode[i][j]);
713
+
714
+        if (s->filtermode == FILTER_SWITCHABLE)
715
+            for (i = 0; i < 4; i++)
716
+                for (j = 0; j < 2; j++)
717
+                    if (vp56_rac_get_prob_branchy(&s->c, 252))
718
+                        s->prob.p.filter[i][j] =
719
+                            update_prob(&s->c, s->prob.p.filter[i][j]);
720
+
721
+        for (i = 0; i < 4; i++)
722
+            if (vp56_rac_get_prob_branchy(&s->c, 252))
723
+                s->prob.p.intra[i] = update_prob(&s->c, s->prob.p.intra[i]);
724
+
725
+        if (s->allowcompinter) {
726
+            s->comppredmode = vp8_rac_get(&s->c);
727
+            if (s->comppredmode)
728
+                s->comppredmode += vp8_rac_get(&s->c);
729
+            if (s->comppredmode == PRED_SWITCHABLE)
730
+                for (i = 0; i < 5; i++)
731
+                    if (vp56_rac_get_prob_branchy(&s->c, 252))
732
+                        s->prob.p.comp[i] =
733
+                            update_prob(&s->c, s->prob.p.comp[i]);
734
+        } else {
735
+            s->comppredmode = PRED_SINGLEREF;
736
+        }
737
+
738
+        if (s->comppredmode != PRED_COMPREF) {
739
+            for (i = 0; i < 5; i++) {
740
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
741
+                    s->prob.p.single_ref[i][0] =
742
+                        update_prob(&s->c, s->prob.p.single_ref[i][0]);
743
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
744
+                    s->prob.p.single_ref[i][1] =
745
+                        update_prob(&s->c, s->prob.p.single_ref[i][1]);
746
+            }
747
+        }
748
+
749
+        if (s->comppredmode != PRED_SINGLEREF) {
750
+            for (i = 0; i < 5; i++)
751
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
752
+                    s->prob.p.comp_ref[i] =
753
+                        update_prob(&s->c, s->prob.p.comp_ref[i]);
754
+        }
755
+
756
+        for (i = 0; i < 4; i++)
757
+            for (j = 0; j < 9; j++)
758
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
759
+                    s->prob.p.y_mode[i][j] =
760
+                        update_prob(&s->c, s->prob.p.y_mode[i][j]);
761
+
762
+        for (i = 0; i < 4; i++)
763
+            for (j = 0; j < 4; j++)
764
+                for (k = 0; k < 3; k++)
765
+                    if (vp56_rac_get_prob_branchy(&s->c, 252))
766
+                        s->prob.p.partition[3 - i][j][k] =
767
+                            update_prob(&s->c, s->prob.p.partition[3 - i][j][k]);
768
+
769
+        // mv fields don't use the update_prob subexp model for some reason
770
+        for (i = 0; i < 3; i++)
771
+            if (vp56_rac_get_prob_branchy(&s->c, 252))
772
+                s->prob.p.mv_joint[i] = (vp8_rac_get_uint(&s->c, 7) << 1) | 1;
773
+
774
+        for (i = 0; i < 2; i++) {
775
+            if (vp56_rac_get_prob_branchy(&s->c, 252))
776
+                s->prob.p.mv_comp[i].sign = (vp8_rac_get_uint(&s->c, 7) << 1) | 1;
777
+
778
+            for (j = 0; j < 10; j++)
779
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
780
+                    s->prob.p.mv_comp[i].classes[j] =
781
+                        (vp8_rac_get_uint(&s->c, 7) << 1) | 1;
782
+
783
+            if (vp56_rac_get_prob_branchy(&s->c, 252))
784
+                s->prob.p.mv_comp[i].class0 = (vp8_rac_get_uint(&s->c, 7) << 1) | 1;
785
+
786
+            for (j = 0; j < 10; j++)
787
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
788
+                    s->prob.p.mv_comp[i].bits[j] =
789
+                        (vp8_rac_get_uint(&s->c, 7) << 1) | 1;
790
+        }
791
+
792
+        for (i = 0; i < 2; i++) {
793
+            for (j = 0; j < 2; j++)
794
+                for (k = 0; k < 3; k++)
795
+                    if (vp56_rac_get_prob_branchy(&s->c, 252))
796
+                        s->prob.p.mv_comp[i].class0_fp[j][k] =
797
+                            (vp8_rac_get_uint(&s->c, 7) << 1) | 1;
798
+
799
+            for (j = 0; j < 3; j++)
800
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
801
+                    s->prob.p.mv_comp[i].fp[j] =
802
+                        (vp8_rac_get_uint(&s->c, 7) << 1) | 1;
803
+        }
804
+
805
+        if (s->highprecisionmvs) {
806
+            for (i = 0; i < 2; i++) {
807
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
808
+                    s->prob.p.mv_comp[i].class0_hp =
809
+                        (vp8_rac_get_uint(&s->c, 7) << 1) | 1;
810
+
811
+                if (vp56_rac_get_prob_branchy(&s->c, 252))
812
+                    s->prob.p.mv_comp[i].hp =
813
+                        (vp8_rac_get_uint(&s->c, 7) << 1) | 1;
814
+            }
815
+        }
816
+    }
817
+
818
+    return (data2 - data) + size2;
819
+}
820
+
821
+static av_always_inline void clamp_mv(VP56mv *dst, const VP56mv *src,
822
+                                      VP9Context *s)
823
+{
824
+    dst->x = av_clip(src->x, s->min_mv.x, s->max_mv.x);
825
+    dst->y = av_clip(src->y, s->min_mv.y, s->max_mv.y);
826
+}
827
+
828
+static void find_ref_mvs(VP9Context *s,
829
+                         VP56mv *pmv, int ref, int z, int idx, int sb)
830
+{
831
+    static const int8_t mv_ref_blk_off[N_BS_SIZES][8][2] = {
832
+        [BS_64x64] = {{  3, -1 }, { -1,  3 }, {  4, -1 }, { -1,  4 },
833
+                      { -1, -1 }, {  0, -1 }, { -1,  0 }, {  6, -1 }},
834
+        [BS_64x32] = {{  0, -1 }, { -1,  0 }, {  4, -1 }, { -1,  2 },
835
+                      { -1, -1 }, {  0, -3 }, { -3,  0 }, {  2, -1 }},
836
+        [BS_32x64] = {{ -1,  0 }, {  0, -1 }, { -1,  4 }, {  2, -1 },
837
+                      { -1, -1 }, { -3,  0 }, {  0, -3 }, { -1,  2 }},
838
+        [BS_32x32] = {{  1, -1 }, { -1,  1 }, {  2, -1 }, { -1,  2 },
839
+                      { -1, -1 }, {  0, -3 }, { -3,  0 }, { -3, -3 }},
840
+        [BS_32x16] = {{  0, -1 }, { -1,  0 }, {  2, -1 }, { -1, -1 },
841
+                      { -1,  1 }, {  0, -3 }, { -3,  0 }, { -3, -3 }},
842
+        [BS_16x32] = {{ -1,  0 }, {  0, -1 }, { -1,  2 }, { -1, -1 },
843
+                      {  1, -1 }, { -3,  0 }, {  0, -3 }, { -3, -3 }},
844
+        [BS_16x16] = {{  0, -1 }, { -1,  0 }, {  1, -1 }, { -1,  1 },
845
+                      { -1, -1 }, {  0, -3 }, { -3,  0 }, { -3, -3 }},
846
+        [BS_16x8]  = {{  0, -1 }, { -1,  0 }, {  1, -1 }, { -1, -1 },
847
+                      {  0, -2 }, { -2,  0 }, { -2, -1 }, { -1, -2 }},
848
+        [BS_8x16]  = {{ -1,  0 }, {  0, -1 }, { -1,  1 }, { -1, -1 },
849
+                      { -2,  0 }, {  0, -2 }, { -1, -2 }, { -2, -1 }},
850
+        [BS_8x8]   = {{  0, -1 }, { -1,  0 }, { -1, -1 }, {  0, -2 },
851
+                      { -2,  0 }, { -1, -2 }, { -2, -1 }, { -2, -2 }},
852
+        [BS_8x4]   = {{  0, -1 }, { -1,  0 }, { -1, -1 }, {  0, -2 },
853
+                      { -2,  0 }, { -1, -2 }, { -2, -1 }, { -2, -2 }},
854
+        [BS_4x8]   = {{  0, -1 }, { -1,  0 }, { -1, -1 }, {  0, -2 },
855
+                      { -2,  0 }, { -1, -2 }, { -2, -1 }, { -2, -2 }},
856
+        [BS_4x4]   = {{  0, -1 }, { -1,  0 }, { -1, -1 }, {  0, -2 },
857
+                      { -2,  0 }, { -1, -2 }, { -2, -1 }, { -2, -2 }},
858
+    };
859
+    VP9Block *const b = &s->b;
860
+    int row = b->row, col = b->col, row7 = b->row7;
861
+    const int8_t (*p)[2] = mv_ref_blk_off[b->bs];
862
+#define INVALID_MV 0x80008000U
863
+    uint32_t mem = INVALID_MV;
864
+    int i;
865
+
866
+#define RETURN_DIRECT_MV(mv) \
867
+    do { \
868
+        uint32_t m = AV_RN32A(&mv); \
869
+        if (!idx) { \
870
+            AV_WN32A(pmv, m); \
871
+            return; \
872
+        } else if (mem == INVALID_MV) { \
873
+            mem = m; \
874
+        } else if (m != mem) { \
875
+            AV_WN32A(pmv, m); \
876
+            return; \
877
+        } \
878
+    } while (0)
879
+
880
+    if (sb >= 0) {
881
+        if (sb == 2 || sb == 1) {
882
+            RETURN_DIRECT_MV(b->mv[0][z]);
883
+        } else if (sb == 3) {
884
+            RETURN_DIRECT_MV(b->mv[2][z]);
885
+            RETURN_DIRECT_MV(b->mv[1][z]);
886
+            RETURN_DIRECT_MV(b->mv[0][z]);
887
+        }
888
+
889
+#define RETURN_MV(mv) \
890
+    do { \
891
+        if (sb > 0) { \
892
+            VP56mv tmp; \
893
+            uint32_t m; \
894
+            clamp_mv(&tmp, &mv, s); \
895
+            m = AV_RN32A(&tmp); \
896
+            if (!idx) { \
897
+                AV_WN32A(pmv, m); \
898
+                return; \
899
+            } else if (mem == INVALID_MV) { \
900
+                mem = m; \
901
+            } else if (m != mem) { \
902
+                AV_WN32A(pmv, m); \
903
+                return; \
904
+            } \
905
+        } else { \
906
+            uint32_t m = AV_RN32A(&mv); \
907
+            if (!idx) { \
908
+                clamp_mv(pmv, &mv, s); \
909
+                return; \
910
+            } else if (mem == INVALID_MV) { \
911
+                mem = m; \
912
+            } else if (m != mem) { \
913
+                clamp_mv(pmv, &mv, s); \
914
+                return; \
915
+            } \
916
+        } \
917
+    } while (0)
918
+
919
+        if (row > 0) {
920
+            struct VP9mvrefPair *mv = &s->mv[0][(row - 1) * s->sb_cols * 8 + col];
921
+            if (mv->ref[0] == ref) {
922
+                RETURN_MV(s->above_mv_ctx[2 * col + (sb & 1)][0]);
923
+            } else if (mv->ref[1] == ref) {
924
+                RETURN_MV(s->above_mv_ctx[2 * col + (sb & 1)][1]);
925
+            }
926
+        }
927
+        if (col > s->tiling.tile_col_start) {
928
+            struct VP9mvrefPair *mv = &s->mv[0][row * s->sb_cols * 8 + col - 1];
929
+            if (mv->ref[0] == ref) {
930
+                RETURN_MV(s->left_mv_ctx[2 * row7 + (sb >> 1)][0]);
931
+            } else if (mv->ref[1] == ref) {
932
+                RETURN_MV(s->left_mv_ctx[2 * row7 + (sb >> 1)][1]);
933
+            }
934
+        }
935
+        i = 2;
936
+    } else {
937
+        i = 0;
938
+    }
939
+
940
+    // previously coded MVs in this neighbourhood, using same reference frame
941
+    for (; i < 8; i++) {
942
+        int c = p[i][0] + col, r = p[i][1] + row;
943
+
944
+        if (c >= s->tiling.tile_col_start && c < s->cols && r >= 0 && r < s->rows) {
945
+            struct VP9mvrefPair *mv = &s->mv[0][r * s->sb_cols * 8 + c];
946
+
947
+            if (mv->ref[0] == ref) {
948
+                RETURN_MV(mv->mv[0]);
949
+            } else if (mv->ref[1] == ref) {
950
+                RETURN_MV(mv->mv[1]);
951
+            }
952
+        }
953
+    }
954
+
955
+    // MV at this position in previous frame, using same reference frame
956
+    if (s->use_last_frame_mvs) {
957
+        struct VP9mvrefPair *mv = &s->mv[1][row * s->sb_cols * 8 + col];
958
+
959
+        if (mv->ref[0] == ref) {
960
+            RETURN_MV(mv->mv[0]);
961
+        } else if (mv->ref[1] == ref) {
962
+            RETURN_MV(mv->mv[1]);
963
+        }
964
+    }
965
+
966
+#define RETURN_SCALE_MV(mv, scale) \
967
+    do { \
968
+        if (scale) { \
969
+            VP56mv mv_temp = { -mv.x, -mv.y }; \
970
+            RETURN_MV(mv_temp); \
971
+        } else { \
972
+            RETURN_MV(mv); \
973
+        } \
974
+    } while (0)
975
+
976
+    // previously coded MVs in this neighbourhood, using different reference frame
977
+    for (i = 0; i < 8; i++) {
978
+        int c = p[i][0] + col, r = p[i][1] + row;
979
+
980
+        if (c >= s->tiling.tile_col_start && c < s->cols && r >= 0 && r < s->rows) {
981
+            struct VP9mvrefPair *mv = &s->mv[0][r * s->sb_cols * 8 + c];
982
+
983
+            if (mv->ref[0] != ref && mv->ref[0] >= 0) {
984
+                RETURN_SCALE_MV(mv->mv[0], s->signbias[mv->ref[0]] != s->signbias[ref]);
985
+            }
986
+            if (mv->ref[1] != ref && mv->ref[1] >= 0) {
987
+                RETURN_SCALE_MV(mv->mv[1], s->signbias[mv->ref[1]] != s->signbias[ref]);
988
+            }
989
+        }
990
+    }
991
+
992
+    // MV at this position in previous frame, using different reference frame
993
+    if (s->use_last_frame_mvs) {
994
+        struct VP9mvrefPair *mv = &s->mv[1][row * s->sb_cols * 8 + col];
995
+
996
+        if (mv->ref[0] != ref && mv->ref[0] >= 0) {
997
+            RETURN_SCALE_MV(mv->mv[0], s->signbias[mv->ref[0]] != s->signbias[ref]);
998
+        }
999
+        if (mv->ref[1] != ref && mv->ref[1] >= 0) {
1000
+            RETURN_SCALE_MV(mv->mv[1], s->signbias[mv->ref[1]] != s->signbias[ref]);
1001
+        }
1002
+    }
1003
+
1004
+    AV_ZERO32(pmv);
1005
+#undef INVALID_MV
1006
+#undef RETURN_MV
1007
+#undef RETURN_SCALE_MV
1008
+}
1009
+
1010
+static av_always_inline int read_mv_component(VP9Context *s, int idx, int hp)
1011
+{
1012
+    int bit, sign = vp56_rac_get_prob(&s->c, s->prob.p.mv_comp[idx].sign);
1013
+    int n, c = vp8_rac_get_tree(&s->c, vp9_mv_class_tree,
1014
+                                s->prob.p.mv_comp[idx].classes);
1015
+
1016
+    s->counts.mv_comp[idx].sign[sign]++;
1017
+    s->counts.mv_comp[idx].classes[c]++;
1018
+    if (c) {
1019
+        int m;
1020
+
1021
+        for (n = 0, m = 0; m < c; m++) {
1022
+            bit = vp56_rac_get_prob(&s->c, s->prob.p.mv_comp[idx].bits[m]);
1023
+            n |= bit << m;
1024
+            s->counts.mv_comp[idx].bits[m][bit]++;
1025
+        }
1026
+        n <<= 3;
1027
+        bit = vp8_rac_get_tree(&s->c, vp9_mv_fp_tree, s->prob.p.mv_comp[idx].fp);
1028
+        n |= bit << 1;
1029
+        s->counts.mv_comp[idx].fp[bit]++;
1030
+        if (hp) {
1031
+            bit = vp56_rac_get_prob(&s->c, s->prob.p.mv_comp[idx].hp);
1032
+            s->counts.mv_comp[idx].hp[bit]++;
1033
+            n |= bit;
1034
+        } else {
1035
+            n |= 1;
1036
+            // bug in libvpx - we count for bw entropy purposes even if the
1037
+            // bit wasn't coded
1038
+            s->counts.mv_comp[idx].hp[1]++;
1039
+        }
1040
+        n += 8 << c;
1041
+    } else {
1042
+        n = vp56_rac_get_prob(&s->c, s->prob.p.mv_comp[idx].class0);
1043
+        s->counts.mv_comp[idx].class0[n]++;
1044
+        bit = vp8_rac_get_tree(&s->c, vp9_mv_fp_tree,
1045
+                               s->prob.p.mv_comp[idx].class0_fp[n]);
1046
+        s->counts.mv_comp[idx].class0_fp[n][bit]++;
1047
+        n = (n << 3) | (bit << 1);
1048
+        if (hp) {
1049
+            bit = vp56_rac_get_prob(&s->c, s->prob.p.mv_comp[idx].class0_hp);
1050
+            s->counts.mv_comp[idx].class0_hp[bit]++;
1051
+            n |= bit;
1052
+        } else {
1053
+            n |= 1;
1054
+            // bug in libvpx - we count for bw entropy purposes even if the
1055
+            // bit wasn't coded
1056
+            s->counts.mv_comp[idx].class0_hp[1]++;
1057
+        }
1058
+    }
1059
+
1060
+    return sign ? -(n + 1) : (n + 1);
1061
+}
1062
+
1063
+static void fill_mv(VP9Context *s,
1064
+                    VP56mv *mv, int mode, int sb)
1065
+{
1066
+    VP9Block *const b = &s->b;
1067
+
1068
+    if (mode == ZEROMV) {
1069
+        memset(mv, 0, sizeof(*mv) * 2);
1070
+    } else {
1071
+        int hp;
1072
+
1073
+        // FIXME cache this value and reuse for other subblocks
1074
+        find_ref_mvs(s, &mv[0], b->ref[0], 0, mode == NEARMV,
1075
+                     mode == NEWMV ? -1 : sb);
1076
+        // FIXME maybe move this code into find_ref_mvs()
1077
+        if ((mode == NEWMV || sb == -1) &&
1078
+            !(hp = s->highprecisionmvs && abs(mv[0].x) < 64 && abs(mv[0].y) < 64)) {
1079
+            if (mv[0].y & 1) {
1080
+                if (mv[0].y < 0)
1081
+                    mv[0].y++;
1082
+                else
1083
+                    mv[0].y--;
1084
+            }
1085
+            if (mv[0].x & 1) {
1086
+                if (mv[0].x < 0)
1087
+                    mv[0].x++;
1088
+                else
1089
+                    mv[0].x--;
1090
+            }
1091
+        }
1092
+        if (mode == NEWMV) {
1093
+            enum MVJoint j = vp8_rac_get_tree(&s->c, vp9_mv_joint_tree,
1094
+                                              s->prob.p.mv_joint);
1095
+
1096
+            s->counts.mv_joint[j]++;
1097
+            if (j >= MV_JOINT_V)
1098
+                mv[0].y += read_mv_component(s, 0, hp);
1099
+            if (j & 1)
1100
+                mv[0].x += read_mv_component(s, 1, hp);
1101
+        }
1102
+
1103
+        if (b->comp) {
1104
+            // FIXME cache this value and reuse for other subblocks
1105
+            find_ref_mvs(s, &mv[1], b->ref[1], 1, mode == NEARMV,
1106
+                         mode == NEWMV ? -1 : sb);
1107
+            if ((mode == NEWMV || sb == -1) &&
1108
+                !(hp = s->highprecisionmvs && abs(mv[1].x) < 64 && abs(mv[1].y) < 64)) {
1109
+                if (mv[1].y & 1) {
1110
+                    if (mv[1].y < 0)
1111
+                        mv[1].y++;
1112
+                    else
1113
+                        mv[1].y--;
1114
+                }
1115
+                if (mv[1].x & 1) {
1116
+                    if (mv[1].x < 0)
1117
+                        mv[1].x++;
1118
+                    else
1119
+                        mv[1].x--;
1120
+                }
1121
+            }
1122
+            if (mode == NEWMV) {
1123
+                enum MVJoint j = vp8_rac_get_tree(&s->c, vp9_mv_joint_tree,
1124
+                                                  s->prob.p.mv_joint);
1125
+
1126
+                s->counts.mv_joint[j]++;
1127
+                if (j >= MV_JOINT_V)
1128
+                    mv[1].y += read_mv_component(s, 0, hp);
1129
+                if (j & 1)
1130
+                    mv[1].x += read_mv_component(s, 1, hp);
1131
+            }
1132
+        }
1133
+    }
1134
+}
1135
+
1136
+static void decode_mode(AVCodecContext *ctx)
1137
+{
1138
+    static const uint8_t left_ctx[N_BS_SIZES] = {
1139
+        0x0, 0x8, 0x0, 0x8, 0xc, 0x8, 0xc, 0xe, 0xc, 0xe, 0xf, 0xe, 0xf
1140
+    };
1141
+    static const uint8_t above_ctx[N_BS_SIZES] = {
1142
+        0x0, 0x0, 0x8, 0x8, 0x8, 0xc, 0xc, 0xc, 0xe, 0xe, 0xe, 0xf, 0xf
1143
+    };
1144
+    static const uint8_t max_tx_for_bl_bp[N_BS_SIZES] = {
1145
+        TX_32X32, TX_32X32, TX_32X32, TX_32X32, TX_16X16, TX_16X16,
1146
+        TX_16X16, TX_8X8, TX_8X8, TX_8X8, TX_4X4, TX_4X4, TX_4X4
1147
+    };
1148
+    VP9Context *s = ctx->priv_data;
1149
+    VP9Block *const b = &s->b;
1150
+    int row = b->row, col = b->col, row7 = b->row7;
1151
+    enum TxfmMode max_tx = max_tx_for_bl_bp[b->bs];
1152
+    int w4 = FFMIN(s->cols - col, bwh_tab[1][b->bs][0]);
1153
+    int h4 = FFMIN(s->rows - row, bwh_tab[1][b->bs][1]), y;
1154
+    int have_a = row > 0, have_l = col > s->tiling.tile_col_start;
1155
+
1156
+    if (!s->segmentation.enabled) {
1157
+        b->seg_id = 0;
1158
+    } else if (s->keyframe || s->intraonly) {
1159
+        b->seg_id = s->segmentation.update_map ?
1160
+            vp8_rac_get_tree(&s->c, vp9_segmentation_tree, s->prob.seg) : 0;
1161
+    } else if (!s->segmentation.update_map ||
1162
+               (s->segmentation.temporal &&
1163
+                vp56_rac_get_prob_branchy(&s->c,
1164
+                    s->prob.segpred[s->above_segpred_ctx[col] +
1165
+                                    s->left_segpred_ctx[row7]]))) {
1166
+        int pred = 8, x;
1167
+
1168
+        for (y = 0; y < h4; y++)
1169
+            for (x = 0; x < w4; x++)
1170
+                pred = FFMIN(pred, s->segmentation_map[(y + row) * 8 * s->sb_cols + x + col]);
1171
+        b->seg_id = pred;
1172
+
1173
+        memset(&s->above_segpred_ctx[col], 1, w4);
1174
+        memset(&s->left_segpred_ctx[row7], 1, h4);
1175
+    } else {
1176
+        b->seg_id = vp8_rac_get_tree(&s->c, vp9_segmentation_tree,
1177
+                                     s->prob.seg);
1178
+
1179
+        memset(&s->above_segpred_ctx[col], 0, w4);
1180
+        memset(&s->left_segpred_ctx[row7], 0, h4);
1181
+    }
1182
+    if ((s->segmentation.enabled && s->segmentation.update_map) || s->keyframe) {
1183
+        for (y = 0; y < h4; y++)
1184
+            memset(&s->segmentation_map[(y + row) * 8 * s->sb_cols + col],
1185
+                   b->seg_id, w4);
1186
+    }
1187
+
1188
+    b->skip = s->segmentation.enabled &&
1189
+        s->segmentation.feat[b->seg_id].skip_enabled;
1190
+    if (!b->skip) {
1191
+        int c = s->left_skip_ctx[row7] + s->above_skip_ctx[col];
1192
+        b->skip = vp56_rac_get_prob(&s->c, s->prob.p.skip[c]);
1193
+        s->counts.skip[c][b->skip]++;
1194
+    }
1195
+
1196
+    if (s->keyframe || s->intraonly) {
1197
+        b->intra = 1;
1198
+    } else if (s->segmentation.feat[b->seg_id].ref_enabled) {
1199
+        b->intra = !s->segmentation.feat[b->seg_id].ref_val;
1200
+    } else {
1201
+        int c, bit;
1202
+
1203
+        if (have_a && have_l) {
1204
+            c = s->above_intra_ctx[col] + s->left_intra_ctx[row7];
1205
+            c += (c == 2);
1206
+        } else {
1207
+            c = have_a ? 2 * s->above_intra_ctx[col] :
1208
+                have_l ? 2 * s->left_intra_ctx[row7] : 0;
1209
+        }
1210
+        bit = vp56_rac_get_prob(&s->c, s->prob.p.intra[c]);
1211
+        s->counts.intra[c][bit]++;
1212
+        b->intra = !bit;
1213
+    }
1214
+
1215
+    if ((b->intra || !b->skip) && s->txfmmode == TX_SWITCHABLE) {
1216
+        int c;
1217
+        if (have_a) {
1218
+            if (have_l) {
1219
+                c = (s->above_skip_ctx[col] ? max_tx :
1220
+                     s->above_txfm_ctx[col]) +
1221
+                    (s->left_skip_ctx[row7] ? max_tx :
1222
+                     s->left_txfm_ctx[row7]) > max_tx;
1223
+            } else {
1224
+                c = s->above_skip_ctx[col] ? 1 :
1225
+                    (s->above_txfm_ctx[col] * 2 > max_tx);
1226
+            }
1227
+        } else if (have_l) {
1228
+            c = s->left_skip_ctx[row7] ? 1 :
1229
+                (s->left_txfm_ctx[row7] * 2 > max_tx);
1230
+        } else {
1231
+            c = 1;
1232
+        }
1233
+        switch (max_tx) {
1234
+        case TX_32X32:
1235
+            b->tx = vp56_rac_get_prob(&s->c, s->prob.p.tx32p[c][0]);
1236
+            if (b->tx) {
1237
+                b->tx += vp56_rac_get_prob(&s->c, s->prob.p.tx32p[c][1]);
1238
+                if (b->tx == 2)
1239
+                    b->tx += vp56_rac_get_prob(&s->c, s->prob.p.tx32p[c][2]);
1240
+            }
1241
+            s->counts.tx32p[c][b->tx]++;
1242
+            break;
1243
+        case TX_16X16:
1244
+            b->tx = vp56_rac_get_prob(&s->c, s->prob.p.tx16p[c][0]);
1245
+            if (b->tx)
1246
+                b->tx += vp56_rac_get_prob(&s->c, s->prob.p.tx16p[c][1]);
1247
+            s->counts.tx16p[c][b->tx]++;
1248
+            break;
1249
+        case TX_8X8:
1250
+            b->tx = vp56_rac_get_prob(&s->c, s->prob.p.tx8p[c]);
1251
+            s->counts.tx8p[c][b->tx]++;
1252
+            break;
1253
+        case TX_4X4:
1254
+            b->tx = TX_4X4;
1255
+            break;
1256
+        }
1257
+    } else {
1258
+        b->tx = FFMIN(max_tx, s->txfmmode);
1259
+    }
1260
+
1261
+    if (s->keyframe || s->intraonly) {
1262
+        uint8_t *a = &s->above_mode_ctx[col * 2];
1263
+        uint8_t *l = &s->left_mode_ctx[(row7) << 1];
1264
+
1265
+        b->comp = 0;
1266
+        if (b->bs > BS_8x8) {
1267
+            // FIXME the memory storage intermediates here aren't really
1268
+            // necessary, they're just there to make the code slightly
1269
+            // simpler for now
1270
+            b->mode[0] = a[0] = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1271
+                                    vp9_default_kf_ymode_probs[a[0]][l[0]]);
1272
+            if (b->bs != BS_8x4) {
1273
+                b->mode[1] = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1274
+                                 vp9_default_kf_ymode_probs[a[1]][b->mode[0]]);
1275
+                l[0] = a[1] = b->mode[1];
1276
+            } else {
1277
+                l[0] = a[1] = b->mode[1] = b->mode[0];
1278
+            }
1279
+            if (b->bs != BS_4x8) {
1280
+                b->mode[2] = a[0] = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1281
+                                        vp9_default_kf_ymode_probs[a[0]][l[1]]);
1282
+                if (b->bs != BS_8x4) {
1283
+                    b->mode[3] = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1284
+                                  vp9_default_kf_ymode_probs[a[1]][b->mode[2]]);
1285
+                    l[1] = a[1] = b->mode[3];
1286
+                } else {
1287
+                    l[1] = a[1] = b->mode[3] = b->mode[2];
1288
+                }
1289
+            } else {
1290
+                b->mode[2] = b->mode[0];
1291
+                l[1] = a[1] = b->mode[3] = b->mode[1];
1292
+            }
1293
+        } else {
1294
+            b->mode[0] = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1295
+                                          vp9_default_kf_ymode_probs[*a][*l]);
1296
+            b->mode[3] = b->mode[2] = b->mode[1] = b->mode[0];
1297
+            // FIXME this can probably be optimized
1298
+            memset(a, b->mode[0], bwh_tab[0][b->bs][0]);
1299
+            memset(l, b->mode[0], bwh_tab[0][b->bs][1]);
1300
+        }
1301
+        b->uvmode = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1302
+                                     vp9_default_kf_uvmode_probs[b->mode[3]]);
1303
+    } else if (b->intra) {
1304
+        b->comp = 0;
1305
+        if (b->bs > BS_8x8) {
1306
+            b->mode[0] = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1307
+                                          s->prob.p.y_mode[0]);
1308
+            s->counts.y_mode[0][b->mode[0]]++;
1309
+            if (b->bs != BS_8x4) {
1310
+                b->mode[1] = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1311
+                                              s->prob.p.y_mode[0]);
1312
+                s->counts.y_mode[0][b->mode[1]]++;
1313
+            } else {
1314
+                b->mode[1] = b->mode[0];
1315
+            }
1316
+            if (b->bs != BS_4x8) {
1317
+                b->mode[2] = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1318
+                                              s->prob.p.y_mode[0]);
1319
+                s->counts.y_mode[0][b->mode[2]]++;
1320
+                if (b->bs != BS_8x4) {
1321
+                    b->mode[3] = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1322
+                                                  s->prob.p.y_mode[0]);
1323
+                    s->counts.y_mode[0][b->mode[3]]++;
1324
+                } else {
1325
+                    b->mode[3] = b->mode[2];
1326
+                }
1327
+            } else {
1328
+                b->mode[2] = b->mode[0];
1329
+                b->mode[3] = b->mode[1];
1330
+            }
1331
+        } else {
1332
+            static const uint8_t size_group[10] = {
1333
+                3, 3, 3, 3, 2, 2, 2, 1, 1, 1
1334
+            };
1335
+            int sz = size_group[b->bs];
1336
+
1337
+            b->mode[0] = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1338
+                                          s->prob.p.y_mode[sz]);
1339
+            b->mode[1] = b->mode[2] = b->mode[3] = b->mode[0];
1340
+            s->counts.y_mode[sz][b->mode[3]]++;
1341
+        }
1342
+        b->uvmode = vp8_rac_get_tree(&s->c, vp9_intramode_tree,
1343
+                                     s->prob.p.uv_mode[b->mode[3]]);
1344
+        s->counts.uv_mode[b->mode[3]][b->uvmode]++;
1345
+    } else {
1346
+        static const uint8_t inter_mode_ctx_lut[14][14] = {
1347
+            { 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 5, 5, 5, 5 },
1348
+            { 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 5, 5, 5, 5 },
1349
+            { 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 5, 5, 5, 5 },
1350
+            { 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 5, 5, 5, 5 },
1351
+            { 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 5, 5, 5, 5 },
1352
+            { 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 5, 5, 5, 5 },
1353
+            { 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 5, 5, 5, 5 },
1354
+            { 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 5, 5, 5, 5 },
1355
+            { 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 5, 5, 5, 5 },
1356
+            { 6, 6, 6, 6, 6, 6, 6, 6, 6, 6, 5, 5, 5, 5 },
1357
+            { 5, 5, 5, 5, 5, 5, 5, 5, 5, 5, 2, 2, 1, 3 },
1358
+            { 5, 5, 5, 5, 5, 5, 5, 5, 5, 5, 2, 2, 1, 3 },
1359
+            { 5, 5, 5, 5, 5, 5, 5, 5, 5, 5, 1, 1, 0, 3 },
1360
+            { 5, 5, 5, 5, 5, 5, 5, 5, 5, 5, 3, 3, 3, 4 },
1361
+        };
1362
+
1363
+        if (s->segmentation.feat[b->seg_id].ref_enabled) {
1364
+            av_assert2(s->segmentation.feat[b->seg_id].ref_val != 0);
1365
+            b->comp = 0;
1366
+            b->ref[0] = s->segmentation.feat[b->seg_id].ref_val - 1;
1367
+        } else {
1368
+            // read comp_pred flag
1369
+            if (s->comppredmode != PRED_SWITCHABLE) {
1370
+                b->comp = s->comppredmode == PRED_COMPREF;
1371
+            } else {
1372
+                int c;
1373
+
1374
+                // FIXME add intra as ref=0xff (or -1) to make these easier?
1375
+                if (have_a) {
1376
+                    if (have_l) {
1377
+                        if (s->above_comp_ctx[col] && s->left_comp_ctx[row7]) {
1378
+                            c = 4;
1379
+                        } else if (s->above_comp_ctx[col]) {
1380
+                            c = 2 + (s->left_intra_ctx[row7] ||
1381
+                                     s->left_ref_ctx[row7] == s->fixcompref);
1382
+                        } else if (s->left_comp_ctx[row7]) {
1383
+                            c = 2 + (s->above_intra_ctx[col] ||
1384
+                                     s->above_ref_ctx[col] == s->fixcompref);
1385
+                        } else {
1386
+                            c = (!s->above_intra_ctx[col] &&
1387
+                                 s->above_ref_ctx[col] == s->fixcompref) ^
1388
+                            (!s->left_intra_ctx[row7] &&
1389
+                             s->left_ref_ctx[row & 7] == s->fixcompref);
1390
+                        }
1391
+                    } else {
1392
+                        c = s->above_comp_ctx[col] ? 3 :
1393
+                        (!s->above_intra_ctx[col] && s->above_ref_ctx[col] == s->fixcompref);
1394
+                    }
1395
+                } else if (have_l) {
1396
+                    c = s->left_comp_ctx[row7] ? 3 :
1397
+                    (!s->left_intra_ctx[row7] && s->left_ref_ctx[row7] == s->fixcompref);
1398
+                } else {
1399
+                    c = 1;
1400
+                }
1401
+                b->comp = vp56_rac_get_prob(&s->c, s->prob.p.comp[c]);
1402
+                s->counts.comp[c][b->comp]++;
1403
+            }
1404
+
1405
+            // read actual references
1406
+            // FIXME probably cache a few variables here to prevent repetitive
1407
+            // memory accesses below
1408
+            if (b->comp) /* two references */ {
1409
+                int fix_idx = s->signbias[s->fixcompref], var_idx = !fix_idx, c, bit;
1410
+
1411
+                b->ref[fix_idx] = s->fixcompref;
1412
+                // FIXME can this codeblob be replaced by some sort of LUT?
1413
+                if (have_a) {
1414
+                    if (have_l) {
1415
+                        if (s->above_intra_ctx[col]) {
1416
+                            if (s->left_intra_ctx[row7]) {
1417
+                                c = 2;
1418
+                            } else {
1419
+                                c = 1 + 2 * (s->left_ref_ctx[row7] != s->varcompref[1]);
1420
+                            }
1421
+                        } else if (s->left_intra_ctx[row7]) {
1422
+                            c = 1 + 2 * (s->above_ref_ctx[col] != s->varcompref[1]);
1423
+                        } else {
1424
+                            int refl = s->left_ref_ctx[row7], refa = s->above_ref_ctx[col];
1425
+
1426
+                            if (refl == refa && refa == s->varcompref[1]) {
1427
+                                c = 0;
1428
+                            } else if (!s->left_comp_ctx[row7] && !s->above_comp_ctx[col]) {
1429
+                                if ((refa == s->fixcompref && refl == s->varcompref[0]) ||
1430
+                                    (refl == s->fixcompref && refa == s->varcompref[0])) {
1431
+                                    c = 4;
1432
+                                } else {
1433
+                                    c = (refa == refl) ? 3 : 1;
1434
+                                }
1435
+                            } else if (!s->left_comp_ctx[row7]) {
1436
+                                if (refa == s->varcompref[1] && refl != s->varcompref[1]) {
1437
+                                    c = 1;
1438
+                                } else {
1439
+                                    c = (refl == s->varcompref[1] &&
1440
+                                         refa != s->varcompref[1]) ? 2 : 4;
1441
+                                }
1442
+                            } else if (!s->above_comp_ctx[col]) {
1443
+                                if (refl == s->varcompref[1] && refa != s->varcompref[1]) {
1444
+                                    c = 1;
1445
+                                } else {
1446
+                                    c = (refa == s->varcompref[1] &&
1447
+                                         refl != s->varcompref[1]) ? 2 : 4;
1448
+                                }
1449
+                            } else {
1450
+                                c = (refl == refa) ? 4 : 2;
1451
+                            }
1452
+                        }
1453
+                    } else {
1454
+                        if (s->above_intra_ctx[col]) {
1455
+                            c = 2;
1456
+                        } else if (s->above_comp_ctx[col]) {
1457
+                            c = 4 * (s->above_ref_ctx[col] != s->varcompref[1]);
1458
+                        } else {
1459
+                            c = 3 * (s->above_ref_ctx[col] != s->varcompref[1]);
1460
+                        }
1461
+                    }
1462
+                } else if (have_l) {
1463
+                    if (s->left_intra_ctx[row7]) {
1464
+                        c = 2;
1465
+                    } else if (s->left_comp_ctx[row7]) {
1466
+                        c = 4 * (s->left_ref_ctx[row7] != s->varcompref[1]);
1467
+                    } else {
1468
+                        c = 3 * (s->left_ref_ctx[row7] != s->varcompref[1]);
1469
+                    }
1470
+                } else {
1471
+                    c = 2;
1472
+                }
1473
+                bit = vp56_rac_get_prob(&s->c, s->prob.p.comp_ref[c]);
1474
+                b->ref[var_idx] = s->varcompref[bit];
1475
+                s->counts.comp_ref[c][bit]++;
1476
+            } else /* single reference */ {
1477
+                int bit, c;
1478
+
1479
+                if (have_a && !s->above_intra_ctx[col]) {
1480
+                    if (have_l && !s->left_intra_ctx[row7]) {
1481
+                        if (s->left_comp_ctx[row7]) {
1482
+                            if (s->above_comp_ctx[col]) {
1483
+                                c = 1 + (!s->fixcompref || !s->left_ref_ctx[row7] ||
1484
+                                         !s->above_ref_ctx[col]);
1485
+                            } else {
1486
+                                c = (3 * !s->above_ref_ctx[col]) +
1487
+                                    (!s->fixcompref || !s->left_ref_ctx[row7]);
1488
+                            }
1489
+                        } else if (s->above_comp_ctx[col]) {
1490
+                            c = (3 * !s->left_ref_ctx[row7]) +
1491
+                                (!s->fixcompref || !s->above_ref_ctx[col]);
1492
+                        } else {
1493
+                            c = 2 * !s->left_ref_ctx[row7] + 2 * !s->above_ref_ctx[col];
1494
+                        }
1495
+                    } else if (s->above_intra_ctx[col]) {
1496
+                        c = 2;
1497
+                    } else if (s->above_comp_ctx[col]) {
1498
+                        c = 1 + (!s->fixcompref || !s->above_ref_ctx[col]);
1499
+                    } else {
1500
+                        c = 4 * (!s->above_ref_ctx[col]);
1501
+                    }
1502
+                } else if (have_l && !s->left_intra_ctx[row7]) {
1503
+                    if (s->left_intra_ctx[row7]) {
1504
+                        c = 2;
1505
+                    } else if (s->left_comp_ctx[row7]) {
1506
+                        c = 1 + (!s->fixcompref || !s->left_ref_ctx[row7]);
1507
+                    } else {
1508
+                        c = 4 * (!s->left_ref_ctx[row7]);
1509
+                    }
1510
+                } else {
1511
+                    c = 2;
1512
+                }
1513
+                bit = vp56_rac_get_prob(&s->c, s->prob.p.single_ref[c][0]);
1514
+                s->counts.single_ref[c][0][bit]++;
1515
+                if (!bit) {
1516
+                    b->ref[0] = 0;
1517
+                } else {
1518
+                    // FIXME can this codeblob be replaced by some sort of LUT?
1519
+                    if (have_a) {
1520
+                        if (have_l) {
1521
+                            if (s->left_intra_ctx[row7]) {
1522
+                                if (s->above_intra_ctx[col]) {
1523
+                                    c = 2;
1524
+                                } else if (s->above_comp_ctx[col]) {
1525
+                                    c = 1 + 2 * (s->fixcompref == 1 ||
1526
+                                                 s->above_ref_ctx[col] == 1);
1527
+                                } else if (!s->above_ref_ctx[col]) {
1528
+                                    c = 3;
1529
+                                } else {
1530
+                                    c = 4 * (s->above_ref_ctx[col] == 1);
1531
+                                }
1532
+                            } else if (s->above_intra_ctx[col]) {
1533
+                                if (s->left_intra_ctx[row7]) {
1534
+                                    c = 2;
1535
+                                } else if (s->left_comp_ctx[row7]) {
1536
+                                    c = 1 + 2 * (s->fixcompref == 1 ||
1537
+                                                 s->left_ref_ctx[row7] == 1);
1538
+                                } else if (!s->left_ref_ctx[row7]) {
1539
+                                    c = 3;
1540
+                                } else {
1541
+                                    c = 4 * (s->left_ref_ctx[row7] == 1);
1542
+                                }
1543
+                            } else if (s->above_comp_ctx[col]) {
1544
+                                if (s->left_comp_ctx[row7]) {
1545
+                                    if (s->left_ref_ctx[row7] == s->above_ref_ctx[col]) {
1546
+                                        c = 3 * (s->fixcompref == 1 ||
1547
+                                                 s->left_ref_ctx[row7] == 1);
1548
+                                    } else {
1549
+                                        c = 2;
1550
+                                    }
1551
+                                } else if (!s->left_ref_ctx[row7]) {
1552
+                                    c = 1 + 2 * (s->fixcompref == 1 ||
1553
+                                                 s->above_ref_ctx[col] == 1);
1554
+                                } else {
1555
+                                    c = 3 * (s->left_ref_ctx[row7] == 1) +
1556
+                                    (s->fixcompref == 1 || s->above_ref_ctx[col] == 1);
1557
+                                }
1558
+                            } else if (s->left_comp_ctx[row7]) {
1559
+                                if (!s->above_ref_ctx[col]) {
1560
+                                    c = 1 + 2 * (s->fixcompref == 1 ||
1561
+                                                 s->left_ref_ctx[row7] == 1);
1562
+                                } else {
1563
+                                    c = 3 * (s->above_ref_ctx[col] == 1) +
1564
+                                    (s->fixcompref == 1 || s->left_ref_ctx[row7] == 1);
1565
+                                }
1566
+                            } else if (!s->above_ref_ctx[col]) {
1567
+                                if (!s->left_ref_ctx[row7]) {
1568
+                                    c = 3;
1569
+                                } else {
1570
+                                    c = 4 * (s->left_ref_ctx[row7] == 1);
1571
+                                }
1572
+                            } else if (!s->left_ref_ctx[row7]) {
1573
+                                c = 4 * (s->above_ref_ctx[col] == 1);
1574
+                            } else {
1575
+                                c = 2 * (s->left_ref_ctx[row7] == 1) +
1576
+                                2 * (s->above_ref_ctx[col] == 1);
1577
+                            }
1578
+                        } else {
1579
+                            if (s->above_intra_ctx[col] ||
1580
+                                (!s->above_comp_ctx[col] && !s->above_ref_ctx[col])) {
1581
+                                c = 2;
1582
+                            } else if (s->above_comp_ctx[col]) {
1583
+                                c = 3 * (s->fixcompref == 1 || s->above_ref_ctx[col] == 1);
1584
+                            } else {
1585
+                                c = 4 * (s->above_ref_ctx[col] == 1);
1586
+                            }
1587
+                        }
1588
+                    } else if (have_l) {
1589
+                        if (s->left_intra_ctx[row7] ||
1590
+                            (!s->left_comp_ctx[row7] && !s->left_ref_ctx[row7])) {
1591
+                            c = 2;
1592
+                        } else if (s->left_comp_ctx[row7]) {
1593
+                            c = 3 * (s->fixcompref == 1 || s->left_ref_ctx[row7] == 1);
1594
+                        } else {
1595
+                            c = 4 * (s->left_ref_ctx[row7] == 1);
1596
+                        }
1597
+                    } else {
1598
+                        c = 2;
1599
+                    }
1600
+                    bit = vp56_rac_get_prob(&s->c, s->prob.p.single_ref[c][1]);
1601
+                    s->counts.single_ref[c][1][bit]++;
1602
+                    b->ref[0] = 1 + bit;
1603
+                }
1604
+            }
1605
+        }
1606
+
1607
+        if (b->bs <= BS_8x8) {
1608
+            if (s->segmentation.feat[b->seg_id].skip_enabled) {
1609
+                b->mode[0] = b->mode[1] = b->mode[2] = b->mode[3] = ZEROMV;
1610
+            } else {
1611
+                static const uint8_t off[10] = {
1612
+                    3, 0, 0, 1, 0, 0, 0, 0, 0, 0
1613
+                };
1614
+
1615
+                // FIXME this needs to use the LUT tables from find_ref_mvs
1616
+                // because not all are -1,0/0,-1
1617
+                int c = inter_mode_ctx_lut[s->above_mode_ctx[col + off[b->bs]]]
1618
+                                          [s->left_mode_ctx[row7 + off[b->bs]]];
1619
+
1620
+                b->mode[0] = vp8_rac_get_tree(&s->c, vp9_inter_mode_tree,
1621
+                                              s->prob.p.mv_mode[c]);
1622
+                b->mode[1] = b->mode[2] = b->mode[3] = b->mode[0];
1623
+                s->counts.mv_mode[c][b->mode[0] - 10]++;
1624
+            }
1625
+        }
1626
+
1627
+        if (s->filtermode == FILTER_SWITCHABLE) {
1628
+            int c;
1629
+
1630
+            if (have_a && s->above_mode_ctx[col] >= NEARESTMV) {
1631
+                if (have_l && s->left_mode_ctx[row7] >= NEARESTMV) {
1632
+                    c = s->above_filter_ctx[col] == s->left_filter_ctx[row7] ?
1633
+                        s->left_filter_ctx[row7] : 3;
1634
+                } else {
1635
+                    c = s->above_filter_ctx[col];
1636
+                }
1637
+            } else if (have_l && s->left_mode_ctx[row7] >= NEARESTMV) {
1638
+                c = s->left_filter_ctx[row7];
1639
+            } else {
1640
+                c = 3;
1641
+            }
1642
+
1643
+            b->filter = vp8_rac_get_tree(&s->c, vp9_filter_tree,
1644
+                                         s->prob.p.filter[c]);
1645
+            s->counts.filter[c][b->filter]++;
1646
+        } else {
1647
+            b->filter = s->filtermode;
1648
+        }
1649
+
1650
+        if (b->bs > BS_8x8) {
1651
+            int c = inter_mode_ctx_lut[s->above_mode_ctx[col]][s->left_mode_ctx[row7]];
1652
+
1653
+            b->mode[0] = vp8_rac_get_tree(&s->c, vp9_inter_mode_tree,
1654
+                                          s->prob.p.mv_mode[c]);
1655
+            s->counts.mv_mode[c][b->mode[0] - 10]++;
1656
+            fill_mv(s, b->mv[0], b->mode[0], 0);
1657
+
1658
+            if (b->bs != BS_8x4) {
1659
+                b->mode[1] = vp8_rac_get_tree(&s->c, vp9_inter_mode_tree,
1660
+                                              s->prob.p.mv_mode[c]);
1661
+                s->counts.mv_mode[c][b->mode[1] - 10]++;
1662
+                fill_mv(s, b->mv[1], b->mode[1], 1);
1663
+            } else {
1664
+                b->mode[1] = b->mode[0];
1665
+                AV_COPY32(&b->mv[1][0], &b->mv[0][0]);
1666
+                AV_COPY32(&b->mv[1][1], &b->mv[0][1]);
1667
+            }
1668
+
1669
+            if (b->bs != BS_4x8) {
1670
+                b->mode[2] = vp8_rac_get_tree(&s->c, vp9_inter_mode_tree,
1671
+                                              s->prob.p.mv_mode[c]);
1672
+                s->counts.mv_mode[c][b->mode[2] - 10]++;
1673
+                fill_mv(s, b->mv[2], b->mode[2], 2);
1674
+
1675
+                if (b->bs != BS_8x4) {
1676
+                    b->mode[3] = vp8_rac_get_tree(&s->c, vp9_inter_mode_tree,
1677
+                                                  s->prob.p.mv_mode[c]);
1678
+                    s->counts.mv_mode[c][b->mode[3] - 10]++;
1679
+                    fill_mv(s, b->mv[3], b->mode[3], 3);
1680
+                } else {
1681
+                    b->mode[3] = b->mode[2];
1682
+                    AV_COPY32(&b->mv[3][0], &b->mv[2][0]);
1683
+                    AV_COPY32(&b->mv[3][1], &b->mv[2][1]);
1684
+                }
1685
+            } else {
1686
+                b->mode[2] = b->mode[0];
1687
+                AV_COPY32(&b->mv[2][0], &b->mv[0][0]);
1688
+                AV_COPY32(&b->mv[2][1], &b->mv[0][1]);
1689
+                b->mode[3] = b->mode[1];
1690
+                AV_COPY32(&b->mv[3][0], &b->mv[1][0]);
1691
+                AV_COPY32(&b->mv[3][1], &b->mv[1][1]);
1692
+            }
1693
+        } else {
1694
+            fill_mv(s, b->mv[0], b->mode[0], -1);
1695
+            AV_COPY32(&b->mv[1][0], &b->mv[0][0]);
1696
+            AV_COPY32(&b->mv[2][0], &b->mv[0][0]);
1697
+            AV_COPY32(&b->mv[3][0], &b->mv[0][0]);
1698
+            AV_COPY32(&b->mv[1][1], &b->mv[0][1]);
1699
+            AV_COPY32(&b->mv[2][1], &b->mv[0][1]);
1700
+            AV_COPY32(&b->mv[3][1], &b->mv[0][1]);
1701
+        }
1702
+    }
1703
+
1704
+    // FIXME this can probably be optimized
1705
+    memset(&s->above_skip_ctx[col], b->skip, w4);
1706
+    memset(&s->left_skip_ctx[row7], b->skip, h4);
1707
+    memset(&s->above_txfm_ctx[col], b->tx, w4);
1708
+    memset(&s->left_txfm_ctx[row7], b->tx, h4);
1709
+    memset(&s->above_partition_ctx[col], above_ctx[b->bs], w4);
1710
+    memset(&s->left_partition_ctx[row7], left_ctx[b->bs], h4);
1711
+    if (!s->keyframe && !s->intraonly) {
1712
+        memset(&s->above_intra_ctx[col], b->intra, w4);
1713
+        memset(&s->left_intra_ctx[row7], b->intra, h4);
1714
+        memset(&s->above_comp_ctx[col], b->comp, w4);
1715
+        memset(&s->left_comp_ctx[row7], b->comp, h4);
1716
+        memset(&s->above_mode_ctx[col], b->mode[3], w4);
1717
+        memset(&s->left_mode_ctx[row7], b->mode[3], h4);
1718
+        if (s->filtermode == FILTER_SWITCHABLE && !b->intra ) {
1719
+            memset(&s->above_filter_ctx[col], b->filter, w4);
1720
+            memset(&s->left_filter_ctx[row7], b->filter, h4);
1721
+            b->filter = vp9_filter_lut[b->filter];
1722
+        }
1723
+        if (b->bs > BS_8x8) {
1724
+            int mv0 = AV_RN32A(&b->mv[3][0]), mv1 = AV_RN32A(&b->mv[3][1]);
1725
+
1726
+            AV_COPY32(&s->left_mv_ctx[row7 * 2 + 0][0], &b->mv[1][0]);
1727
+            AV_COPY32(&s->left_mv_ctx[row7 * 2 + 0][1], &b->mv[1][1]);
1728
+            AV_WN32A(&s->left_mv_ctx[row7 * 2 + 1][0], mv0);
1729
+            AV_WN32A(&s->left_mv_ctx[row7 * 2 + 1][1], mv1);
1730
+            AV_COPY32(&s->above_mv_ctx[col * 2 + 0][0], &b->mv[2][0]);
1731
+            AV_COPY32(&s->above_mv_ctx[col * 2 + 0][1], &b->mv[2][1]);
1732
+            AV_WN32A(&s->above_mv_ctx[col * 2 + 1][0], mv0);
1733
+            AV_WN32A(&s->above_mv_ctx[col * 2 + 1][1], mv1);
1734
+        } else {
1735
+            int n, mv0 = AV_RN32A(&b->mv[3][0]), mv1 = AV_RN32A(&b->mv[3][1]);
1736
+
1737
+            for (n = 0; n < w4 * 2; n++) {
1738
+                AV_WN32A(&s->above_mv_ctx[col * 2 + n][0], mv0);
1739
+                AV_WN32A(&s->above_mv_ctx[col * 2 + n][1], mv1);
1740
+            }
1741
+            for (n = 0; n < h4 * 2; n++) {
1742
+                AV_WN32A(&s->left_mv_ctx[row7 * 2 + n][0], mv0);
1743
+                AV_WN32A(&s->left_mv_ctx[row7 * 2 + n][1], mv1);
1744
+            }
1745
+        }
1746
+
1747
+        if (!b->intra) { // FIXME write 0xff or -1 if intra, so we can use this
1748
+                         // as a direct check in above branches
1749
+            int vref = b->ref[b->comp ? s->signbias[s->varcompref[0]] : 0];
1750
+
1751
+            memset(&s->above_ref_ctx[col], vref, w4);
1752
+            memset(&s->left_ref_ctx[row7], vref, h4);
1753
+        }
1754
+    }
1755
+
1756
+    // FIXME kinda ugly
1757
+    for (y = 0; y < h4; y++) {
1758
+        int x, o = (row + y) * s->sb_cols * 8 + col;
1759
+
1760
+        if (b->intra) {
1761
+            for (x = 0; x < w4; x++) {
1762
+                s->mv[0][o + x].ref[0] =
1763
+                s->mv[0][o + x].ref[1] = -1;
1764
+            }
1765
+        } else if (b->comp) {
1766
+            for (x = 0; x < w4; x++) {
1767
+                s->mv[0][o + x].ref[0] = b->ref[0];
1768
+                s->mv[0][o + x].ref[1] = b->ref[1];
1769
+                AV_COPY32(&s->mv[0][o + x].mv[0], &b->mv[3][0]);
1770
+                AV_COPY32(&s->mv[0][o + x].mv[1], &b->mv[3][1]);
1771
+            }
1772
+        } else {
1773
+            for (x = 0; x < w4; x++) {
1774
+                s->mv[0][o + x].ref[0] = b->ref[0];
1775
+                s->mv[0][o + x].ref[1] = -1;
1776
+                AV_COPY32(&s->mv[0][o + x].mv[0], &b->mv[3][0]);
1777
+            }
1778
+        }
1779
+    }
1780
+}
1781
+
1782
+// FIXME remove tx argument, and merge cnt/eob arguments?
1783
+static int decode_coeffs_b(VP56RangeCoder *c, int16_t *coef, int n_coeffs,
1784
+                           enum TxfmMode tx, unsigned (*cnt)[6][3],
1785
+                           unsigned (*eob)[6][2], uint8_t (*p)[6][11],
1786
+                           int nnz, const int16_t *scan, const int16_t (*nb)[2],
1787
+                           const int16_t *band_counts, const int16_t *qmul)
1788
+{
1789
+    int i = 0, band = 0, band_left = band_counts[band];
1790
+    uint8_t *tp = p[0][nnz];
1791
+    uint8_t cache[1024];
1792
+
1793
+    do {
1794
+        int val, rc;
1795
+
1796
+        val = vp56_rac_get_prob_branchy(c, tp[0]); // eob
1797
+        eob[band][nnz][val]++;
1798
+        if (!val)
1799
+            break;
1800
+
1801
+    skip_eob:
1802
+        if (!vp56_rac_get_prob_branchy(c, tp[1])) { // zero
1803
+            cnt[band][nnz][0]++;
1804
+            if (!--band_left)
1805
+                band_left = band_counts[++band];
1806
+            cache[scan[i]] = 0;
1807
+            nnz = (1 + cache[nb[i][0]] + cache[nb[i][1]]) >> 1;
1808
+            tp = p[band][nnz];
1809
+            if (++i == n_coeffs)
1810
+                break; //invalid input; blocks should end with EOB
1811
+            goto skip_eob;
1812
+        }
1813
+
1814
+        rc = scan[i];
1815
+        if (!vp56_rac_get_prob_branchy(c, tp[2])) { // one
1816
+            cnt[band][nnz][1]++;
1817
+            val = 1;
1818
+            cache[rc] = 1;
1819
+        } else {
1820
+            // fill in p[3-10] (model fill) - only once per frame for each pos
1821
+            if (!tp[3])
1822
+                memcpy(&tp[3], vp9_model_pareto8[tp[2]], 8);
1823
+
1824
+            cnt[band][nnz][2]++;
1825
+            if (!vp56_rac_get_prob_branchy(c, tp[3])) { // 2, 3, 4
1826
+                if (!vp56_rac_get_prob_branchy(c, tp[4])) {
1827
+                    cache[rc] = val = 2;
1828
+                } else {
1829
+                    val = 3 + vp56_rac_get_prob(c, tp[5]);
1830
+                    cache[rc] = 3;
1831
+                }
1832
+            } else if (!vp56_rac_get_prob_branchy(c, tp[6])) { // cat1/2
1833
+                cache[rc] = 4;
1834
+                if (!vp56_rac_get_prob_branchy(c, tp[7])) {
1835
+                    val = 5 + vp56_rac_get_prob(c, 159);
1836
+                } else {
1837
+                    val = 7 + (vp56_rac_get_prob(c, 165) << 1) +
1838
+                               vp56_rac_get_prob(c, 145);
1839
+                }
1840
+            } else { // cat 3-6
1841
+                cache[rc] = 5;
1842
+                if (!vp56_rac_get_prob_branchy(c, tp[8])) {
1843
+                    if (!vp56_rac_get_prob_branchy(c, tp[9])) {
1844
+                        val = 11 + (vp56_rac_get_prob(c, 173) << 2) +
1845
+                                   (vp56_rac_get_prob(c, 148) << 1) +
1846
+                                    vp56_rac_get_prob(c, 140);
1847
+                    } else {
1848
+                        val = 19 + (vp56_rac_get_prob(c, 176) << 3) +
1849
+                                   (vp56_rac_get_prob(c, 155) << 2) +
1850
+                                   (vp56_rac_get_prob(c, 140) << 1) +
1851
+                                    vp56_rac_get_prob(c, 135);
1852
+                    }
1853
+                } else if (!vp56_rac_get_prob_branchy(c, tp[10])) {
1854
+                    val = 35 + (vp56_rac_get_prob(c, 180) << 4) +
1855
+                               (vp56_rac_get_prob(c, 157) << 3) +
1856
+                               (vp56_rac_get_prob(c, 141) << 2) +
1857
+                               (vp56_rac_get_prob(c, 134) << 1) +
1858
+                                vp56_rac_get_prob(c, 130);
1859
+                } else {
1860
+                    val = 67 + (vp56_rac_get_prob(c, 254) << 13) +
1861
+                               (vp56_rac_get_prob(c, 254) << 12) +
1862
+                               (vp56_rac_get_prob(c, 254) << 11) +
1863
+                               (vp56_rac_get_prob(c, 252) << 10) +
1864
+                               (vp56_rac_get_prob(c, 249) << 9) +
1865
+                               (vp56_rac_get_prob(c, 243) << 8) +
1866
+                               (vp56_rac_get_prob(c, 230) << 7) +
1867
+                               (vp56_rac_get_prob(c, 196) << 6) +
1868
+                               (vp56_rac_get_prob(c, 177) << 5) +
1869
+                               (vp56_rac_get_prob(c, 153) << 4) +
1870
+                               (vp56_rac_get_prob(c, 140) << 3) +
1871
+                               (vp56_rac_get_prob(c, 133) << 2) +
1872
+                               (vp56_rac_get_prob(c, 130) << 1) +
1873
+                                vp56_rac_get_prob(c, 129);
1874
+                }
1875
+            }
1876
+        }
1877
+        if (!--band_left)
1878
+            band_left = band_counts[++band];
1879
+        if (tx == TX_32X32) // FIXME slow
1880
+            coef[rc] = ((vp8_rac_get(c) ? -val : val) * qmul[!!i]) / 2;
1881
+        else
1882
+            coef[rc] = (vp8_rac_get(c) ? -val : val) * qmul[!!i];
1883
+        nnz = (1 + cache[nb[i][0]] + cache[nb[i][1]]) >> 1;
1884
+        tp = p[band][nnz];
1885
+    } while (++i < n_coeffs);
1886
+
1887
+    return i;
1888
+}
1889
+
1890
+static int decode_coeffs(AVCodecContext *ctx)
1891
+{
1892
+    VP9Context *s = ctx->priv_data;
1893
+    VP9Block *const b = &s->b;
1894
+    int row = b->row, col = b->col;
1895
+    uint8_t (*p)[6][11] = s->prob.coef[b->tx][0 /* y */][!b->intra];
1896
+    unsigned (*c)[6][3] = s->counts.coef[b->tx][0 /* y */][!b->intra];
1897
+    unsigned (*e)[6][2] = s->counts.eob[b->tx][0 /* y */][!b->intra];
1898
+    int w4 = bwh_tab[1][b->bs][0] << 1, h4 = bwh_tab[1][b->bs][1] << 1;
1899
+    int end_x = FFMIN(2 * (s->cols - col), w4);
1900
+    int end_y = FFMIN(2 * (s->rows - row), h4);
1901
+    int n, pl, x, y, step1d = 1 << b->tx, step = 1 << (b->tx * 2);
1902
+    int uvstep1d = 1 << b->uvtx, uvstep = 1 << (b->uvtx * 2), res;
1903
+    int16_t (*qmul)[2] = s->segmentation.feat[b->seg_id].qmul;
1904
+    int tx = 4 * s->lossless + b->tx;
1905
+    const int16_t **yscans = vp9_scans[tx];
1906
+    const int16_t (**ynbs)[2] = vp9_scans_nb[tx];
1907
+    const int16_t *uvscan = vp9_scans[b->uvtx][DCT_DCT];
1908
+    const int16_t (*uvnb)[2] = vp9_scans_nb[b->uvtx][DCT_DCT];
1909
+    uint8_t *a = &s->above_y_nnz_ctx[col * 2];
1910
+    uint8_t *l = &s->left_y_nnz_ctx[(row & 7) << 1];
1911
+    static const int16_t band_counts[4][6] = {
1912
+        { 1, 2, 3, 4,  3,   16 - 13 },
1913
+        { 1, 2, 3, 4, 11,   64 - 21 },
1914
+        { 1, 2, 3, 4, 11,  256 - 21 },
1915
+        { 1, 2, 3, 4, 11, 1024 - 21 },
1916
+    };
1917
+    const int16_t *y_band_counts = band_counts[b->tx];
1918
+    const int16_t *uv_band_counts = band_counts[b->uvtx];
1919
+
1920
+    /* y tokens */
1921
+    if (b->tx > TX_4X4) { // FIXME slow
1922
+        for (y = 0; y < end_y; y += step1d)
1923
+            for (x = 1; x < step1d; x++)
1924
+                l[y] |= l[y + x];
1925
+        for (x = 0; x < end_x; x += step1d)
1926
+            for (y = 1; y < step1d; y++)
1927
+                a[x] |= a[x + y];
1928
+    }
1929
+    for (n = 0, y = 0; y < end_y; y += step1d) {
1930
+        for (x = 0; x < end_x; x += step1d, n += step) {
1931
+            enum TxfmType txtp = vp9_intra_txfm_type[b->mode[b->tx == TX_4X4 &&
1932
+                                                             b->bs > BS_8x8 ?
1933
+                                                             n : 0]];
1934
+            int nnz = a[x] + l[y];
1935
+            if ((res = decode_coeffs_b(&s->c, s->block + 16 * n, 16 * step,
1936
+                                       b->tx, c, e, p, nnz, yscans[txtp],
1937
+                                       ynbs[txtp], y_band_counts, qmul[0])) < 0)
1938
+                return res;
1939
+            a[x] = l[y] = !!res;
1940
+            if (b->tx > TX_8X8) {
1941
+                AV_WN16A(&s->eob[n], res);
1942
+            } else {
1943
+                s->eob[n] = res;
1944
+            }
1945
+        }
1946
+    }
1947
+    if (b->tx > TX_4X4) { // FIXME slow
1948
+        for (y = 0; y < end_y; y += step1d)
1949
+            memset(&l[y + 1], l[y], FFMIN(end_y - y - 1, step1d - 1));
1950
+        for (x = 0; x < end_x; x += step1d)
1951
+            memset(&a[x + 1], a[x], FFMIN(end_x - x - 1, step1d - 1));
1952
+    }
1953
+
1954
+    p = s->prob.coef[b->uvtx][1 /* uv */][!b->intra];
1955
+    c = s->counts.coef[b->uvtx][1 /* uv */][!b->intra];
1956
+    e = s->counts.eob[b->uvtx][1 /* uv */][!b->intra];
1957
+    w4 >>= 1;
1958
+    h4 >>= 1;
1959
+    end_x >>= 1;
1960
+    end_y >>= 1;
1961
+    for (pl = 0; pl < 2; pl++) {
1962
+        a = &s->above_uv_nnz_ctx[pl][col];
1963
+        l = &s->left_uv_nnz_ctx[pl][row & 7];
1964
+        if (b->uvtx > TX_4X4) { // FIXME slow
1965
+            for (y = 0; y < end_y; y += uvstep1d)
1966
+                for (x = 1; x < uvstep1d; x++)
1967
+                    l[y] |= l[y + x];
1968
+            for (x = 0; x < end_x; x += uvstep1d)
1969
+                for (y = 1; y < uvstep1d; y++)
1970
+                    a[x] |= a[x + y];
1971
+        }
1972
+        for (n = 0, y = 0; y < end_y; y += uvstep1d) {
1973
+            for (x = 0; x < end_x; x += uvstep1d, n += uvstep) {
1974
+                int nnz = a[x] + l[y];
1975
+                if ((res = decode_coeffs_b(&s->c, s->uvblock[pl] + 16 * n,
1976
+                                           16 * uvstep, b->uvtx, c, e, p, nnz,
1977
+                                           uvscan, uvnb, uv_band_counts,
1978
+                                           qmul[1])) < 0)
1979
+                    return res;
1980
+                a[x] = l[y] = !!res;
1981
+                if (b->uvtx > TX_8X8) {
1982
+                    AV_WN16A(&s->uveob[pl][n], res);
1983
+                } else {
1984
+                    s->uveob[pl][n] = res;
1985
+                }
1986
+            }
1987
+        }
1988
+        if (b->uvtx > TX_4X4) { // FIXME slow
1989
+            for (y = 0; y < end_y; y += uvstep1d)
1990
+                memset(&l[y + 1], l[y], FFMIN(end_y - y - 1, uvstep1d - 1));
1991
+            for (x = 0; x < end_x; x += uvstep1d)
1992
+                memset(&a[x + 1], a[x], FFMIN(end_x - x - 1, uvstep1d - 1));
1993
+        }
1994
+    }
1995
+
1996
+    return 0;
1997
+}
1998
+
1999
+static av_always_inline int check_intra_mode(VP9Context *s, int mode, uint8_t **a,
2000
+                                             uint8_t *dst_edge, ptrdiff_t stride_edge,
2001
+                                             uint8_t *dst_inner, ptrdiff_t stride_inner,
2002
+                                             uint8_t *l, int col, int x, int w,
2003
+                                             int row, int y, enum TxfmMode tx,
2004
+                                             int p)
2005
+{
2006
+    int have_top = row > 0 || y > 0;
2007
+    int have_left = col > s->tiling.tile_col_start || x > 0;
2008
+    int have_right = x < w - 1;
2009
+    static const uint8_t mode_conv[10][2 /* have_left */][2 /* have_top */] = {
2010
+        [VERT_PRED]            = { { DC_127_PRED,          VERT_PRED },
2011
+                                   { DC_127_PRED,          VERT_PRED } },
2012
+        [HOR_PRED]             = { { DC_129_PRED,          DC_129_PRED },
2013
+                                   { HOR_PRED,             HOR_PRED } },
2014
+        [DC_PRED]              = { { DC_128_PRED,          TOP_DC_PRED },
2015
+                                   { LEFT_DC_PRED,         DC_PRED } },
2016
+        [DIAG_DOWN_LEFT_PRED]  = { { DC_127_PRED,          DIAG_DOWN_LEFT_PRED },
2017
+                                   { DC_127_PRED,          DIAG_DOWN_LEFT_PRED } },
2018
+        [DIAG_DOWN_RIGHT_PRED] = { { DIAG_DOWN_RIGHT_PRED, DIAG_DOWN_RIGHT_PRED },
2019
+                                   { DIAG_DOWN_RIGHT_PRED, DIAG_DOWN_RIGHT_PRED } },
2020
+        [VERT_RIGHT_PRED]      = { { VERT_RIGHT_PRED,      VERT_RIGHT_PRED },
2021
+                                   { VERT_RIGHT_PRED,      VERT_RIGHT_PRED } },
2022
+        [HOR_DOWN_PRED]        = { { HOR_DOWN_PRED,        HOR_DOWN_PRED },
2023
+                                   { HOR_DOWN_PRED,        HOR_DOWN_PRED } },
2024
+        [VERT_LEFT_PRED]       = { { DC_127_PRED,          VERT_LEFT_PRED },
2025
+                                   { DC_127_PRED,          VERT_LEFT_PRED } },
2026
+        [HOR_UP_PRED]          = { { DC_129_PRED,          DC_129_PRED },
2027
+                                   { HOR_UP_PRED,          HOR_UP_PRED } },
2028
+        [TM_VP8_PRED]          = { { DC_129_PRED,          VERT_PRED },
2029
+                                   { HOR_PRED,             TM_VP8_PRED } },
2030
+    };
2031
+    static const struct {
2032
+        uint8_t needs_left:1;
2033
+        uint8_t needs_top:1;
2034
+        uint8_t needs_topleft:1;
2035
+        uint8_t needs_topright:1;
2036
+    } edges[N_INTRA_PRED_MODES] = {
2037
+        [VERT_PRED]            = { .needs_top  = 1 },
2038
+        [HOR_PRED]             = { .needs_left = 1 },
2039
+        [DC_PRED]              = { .needs_top  = 1, .needs_left = 1 },
2040
+        [DIAG_DOWN_LEFT_PRED]  = { .needs_top  = 1, .needs_topright = 1 },
2041
+        [DIAG_DOWN_RIGHT_PRED] = { .needs_left = 1, .needs_top = 1, .needs_topleft = 1 },
2042
+        [VERT_RIGHT_PRED]      = { .needs_left = 1, .needs_top = 1, .needs_topleft = 1 },
2043
+        [HOR_DOWN_PRED]        = { .needs_left = 1, .needs_top = 1, .needs_topleft = 1 },
2044
+        [VERT_LEFT_PRED]       = { .needs_top  = 1, .needs_topright = 1 },
2045
+        [HOR_UP_PRED]          = { .needs_left = 1 },
2046
+        [TM_VP8_PRED]          = { .needs_left = 1, .needs_top = 1, .needs_topleft = 1 },
2047
+        [LEFT_DC_PRED]         = { .needs_left = 1 },
2048
+        [TOP_DC_PRED]          = { .needs_top  = 1 },
2049
+        [DC_128_PRED]          = { 0 },
2050
+        [DC_127_PRED]          = { 0 },
2051
+        [DC_129_PRED]          = { 0 }
2052
+    };
2053
+
2054
+    av_assert2(mode >= 0 && mode < 10);
2055
+    mode = mode_conv[mode][have_left][have_top];
2056
+    if (edges[mode].needs_top) {
2057
+        uint8_t *top, *topleft;
2058
+        int n_px_need = 4 << tx, n_px_have = (((s->cols - col) << !p) - x) * 4;
2059
+        int n_px_need_tr = 0;
2060
+
2061
+        if (tx == TX_4X4 && edges[mode].needs_topright && have_right)
2062
+            n_px_need_tr = 4;
2063
+
2064
+        // if top of sb64-row, use s->intra_pred_data[] instead of
2065
+        // dst[-stride] for intra prediction (it contains pre- instead of
2066
+        // post-loopfilter data)
2067
+        if (have_top) {
2068
+            top = !(row & 7) && !y ?
2069
+                s->intra_pred_data[p] + col * (8 >> !!p) + x * 4 :
2070
+                y == 0 ? &dst_edge[-stride_edge] : &dst_inner[-stride_inner];
2071
+            if (have_left)
2072
+                topleft = !(row & 7) && !y ?
2073
+                    s->intra_pred_data[p] + col * (8 >> !!p) + x * 4 :
2074
+                    y == 0 || x == 0 ? &dst_edge[-stride_edge] :
2075
+                    &dst_inner[-stride_inner];
2076
+        }
2077
+
2078
+        if (have_top &&
2079
+            (!edges[mode].needs_topleft || (have_left && top == topleft)) &&
2080
+            (tx != TX_4X4 || !edges[mode].needs_topright || have_right) &&
2081
+            n_px_need + n_px_need_tr <= n_px_have) {
2082
+            *a = top;
2083
+        } else {
2084
+            if (have_top) {
2085
+                if (n_px_need <= n_px_have) {
2086
+                    memcpy(*a, top, n_px_need);
2087
+                } else {
2088
+                    memcpy(*a, top, n_px_have);
2089
+                    memset(&(*a)[n_px_have], (*a)[n_px_have - 1],
2090
+                           n_px_need - n_px_have);
2091
+                }
2092
+            } else {
2093
+                memset(*a, 127, n_px_need);
2094
+            }
2095
+            if (edges[mode].needs_topleft) {
2096
+                if (have_left && have_top) {
2097
+                    (*a)[-1] = topleft[-1];
2098
+                } else {
2099
+                    (*a)[-1] = have_top ? 129 : 127;
2100
+                }
2101
+            }
2102
+            if (tx == TX_4X4 && edges[mode].needs_topright) {
2103
+                if (have_top && have_right &&
2104
+                    n_px_need + n_px_need_tr <= n_px_have) {
2105
+                    memcpy(&(*a)[4], &top[4], 4);
2106
+                } else {
2107
+                    memset(&(*a)[4], (*a)[3], 4);
2108
+                }
2109
+            }
2110
+        }
2111
+    }
2112
+    if (edges[mode].needs_left) {
2113
+        if (have_left) {
2114
+            int n_px_need = 4 << tx, i, n_px_have = (((s->rows - row) << !p) - y) * 4;
2115
+            uint8_t *dst = x == 0 ? dst_edge : dst_inner;
2116
+            ptrdiff_t stride = x == 0 ? stride_edge : stride_inner;
2117
+
2118
+            if (n_px_need <= n_px_have) {
2119
+                for (i = 0; i < n_px_need; i++)
2120
+                    l[i] = dst[i * stride - 1];
2121
+            } else {
2122
+                for (i = 0; i < n_px_have; i++)
2123
+                    l[i] = dst[i * stride - 1];
2124
+                memset(&l[i], l[i - 1], n_px_need - n_px_have);
2125
+            }
2126
+        } else {
2127
+            memset(l, 129, 4 << tx);
2128
+        }
2129
+    }
2130
+
2131
+    return mode;
2132
+}
2133
+
2134
+static void intra_recon(AVCodecContext *ctx, ptrdiff_t y_off, ptrdiff_t uv_off)
2135
+{
2136
+    VP9Context *s = ctx->priv_data;
2137
+    VP9Block *const b = &s->b;
2138
+    int row = b->row, col = b->col;
2139
+    int w4 = bwh_tab[1][b->bs][0] << 1, step1d = 1 << b->tx, n;
2140
+    int h4 = bwh_tab[1][b->bs][1] << 1, x, y, step = 1 << (b->tx * 2);
2141
+    int end_x = FFMIN(2 * (s->cols - col), w4);
2142
+    int end_y = FFMIN(2 * (s->rows - row), h4);
2143
+    int tx = 4 * s->lossless + b->tx, uvtx = b->uvtx + 4 * s->lossless;
2144
+    int uvstep1d = 1 << b->uvtx, p;
2145
+    uint8_t *dst = b->dst[0], *dst_r = s->f->data[0] + y_off;
2146
+
2147
+    for (n = 0, y = 0; y < end_y; y += step1d) {
2148
+        uint8_t *ptr = dst, *ptr_r = dst_r;
2149
+        for (x = 0; x < end_x; x += step1d, ptr += 4 * step1d,
2150
+                               ptr_r += 4 * step1d, n += step) {
2151
+            int mode = b->mode[b->bs > BS_8x8 && b->tx == TX_4X4 ?
2152
+                               y * 2 + x : 0];
2153
+            LOCAL_ALIGNED_16(uint8_t, a_buf, [48]);
2154
+            uint8_t *a = &a_buf[16], l[32];
2155
+            enum TxfmType txtp = vp9_intra_txfm_type[mode];
2156
+            int eob = b->tx > TX_8X8 ? AV_RN16A(&s->eob[n]) : s->eob[n];
2157
+
2158
+            mode = check_intra_mode(s, mode, &a, ptr_r, s->f->linesize[0],
2159
+                                    ptr, b->y_stride, l,
2160
+                                    col, x, w4, row, y, b->tx, 0);
2161
+            s->dsp.intra_pred[b->tx][mode](ptr, b->y_stride, l, a);
2162
+            if (eob)
2163
+                s->dsp.itxfm_add[tx][txtp](ptr, b->y_stride,
2164
+                                           s->block + 16 * n, eob);
2165
+        }
2166
+        dst_r += 4 * s->f->linesize[0] * step1d;
2167
+        dst   += 4 * b->y_stride       * step1d;
2168
+    }
2169
+
2170
+    // U/V
2171
+    h4 >>= 1;
2172
+    w4 >>= 1;
2173
+    end_x >>= 1;
2174
+    end_y >>= 1;
2175
+    step = 1 << (b->uvtx * 2);
2176
+    for (p = 0; p < 2; p++) {
2177
+        dst   = b->dst[1 + p];
2178
+        dst_r = s->f->data[1 + p] + uv_off;
2179
+        for (n = 0, y = 0; y < end_y; y += uvstep1d) {
2180
+            uint8_t *ptr = dst, *ptr_r = dst_r;
2181
+            for (x = 0; x < end_x; x += uvstep1d, ptr += 4 * uvstep1d,
2182
+                                   ptr_r += 4 * uvstep1d, n += step) {
2183
+                int mode = b->uvmode;
2184
+                LOCAL_ALIGNED_16(uint8_t, a_buf, [48]);
2185
+                uint8_t *a = &a_buf[16], l[32];
2186
+                int eob = b->uvtx > TX_8X8 ? AV_RN16A(&s->uveob[p][n]) : s->uveob[p][n];
2187
+
2188
+                mode = check_intra_mode(s, mode, &a, ptr_r, s->f->linesize[1],
2189
+                                        ptr, b->uv_stride, l,
2190
+                                        col, x, w4, row, y, b->uvtx, p + 1);
2191
+                s->dsp.intra_pred[b->uvtx][mode](ptr, b->uv_stride, l, a);
2192
+                if (eob)
2193
+                    s->dsp.itxfm_add[uvtx][DCT_DCT](ptr, b->uv_stride,
2194
+                                                    s->uvblock[p] + 16 * n, eob);
2195
+            }
2196
+            dst_r += 4 * uvstep1d * s->f->linesize[1];
2197
+            dst   += 4 * uvstep1d * b->uv_stride;
2198
+        }
2199
+    }
2200
+}
2201
+
2202
+static av_always_inline void mc_luma_dir(VP9Context *s, vp9_mc_func (*mc)[2],
2203
+                                         uint8_t *dst, ptrdiff_t dst_stride,
2204
+                                         const uint8_t *ref, ptrdiff_t ref_stride,
2205
+                                         ptrdiff_t y, ptrdiff_t x, const VP56mv *mv,
2206
+                                         int bw, int bh, int w, int h)
2207
+{
2208
+    int mx = mv->x, my = mv->y;
2209
+
2210
+    y += my >> 3;
2211
+    x += mx >> 3;
2212
+    ref += y * ref_stride + x;
2213
+    mx &= 7;
2214
+    my &= 7;
2215
+    // FIXME bilinear filter only needs 0/1 pixels, not 3/4
2216
+    if (x < !!mx * 3 || y < !!my * 3 ||
2217
+        x + !!mx * 4 > w - bw || y + !!my * 4 > h - bh) {
2218
+        s->vdsp.emulated_edge_mc(s->edge_emu_buffer, 80,
2219
+                                 ref - !!my * 3 * ref_stride - !!mx * 3,
2220
+                                 ref_stride,
2221
+                                 bw + !!mx * 7, bh + !!my * 7,
2222
+                                 x - !!mx * 3, y - !!my * 3, w, h);
2223
+        ref = s->edge_emu_buffer + !!my * 3 * 80 + !!mx * 3;
2224
+        ref_stride = 80;
2225
+    }
2226
+    mc[!!mx][!!my](dst, dst_stride, ref, ref_stride, bh, mx << 1, my << 1);
2227
+}
2228
+
2229
+static av_always_inline void mc_chroma_dir(VP9Context *s, vp9_mc_func (*mc)[2],
2230
+                                           uint8_t *dst_u, uint8_t *dst_v,
2231
+                                           ptrdiff_t dst_stride,
2232
+                                           const uint8_t *ref_u, ptrdiff_t src_stride_u,
2233
+                                           const uint8_t *ref_v, ptrdiff_t src_stride_v,
2234
+                                           ptrdiff_t y, ptrdiff_t x, const VP56mv *mv,
2235
+                                           int bw, int bh, int w, int h)
2236
+{
2237
+    int mx = mv->x, my = mv->y;
2238
+
2239
+    y += my >> 4;
2240
+    x += mx >> 4;
2241
+    ref_u += y * src_stride_u + x;
2242
+    ref_v += y * src_stride_v + x;
2243
+    mx &= 15;
2244
+    my &= 15;
2245
+    // FIXME bilinear filter only needs 0/1 pixels, not 3/4
2246
+    if (x < !!mx * 3 || y < !!my * 3 ||
2247
+        x + !!mx * 4 > w - bw || y + !!my * 4 > h - bh) {
2248
+        s->vdsp.emulated_edge_mc(s->edge_emu_buffer, 80,
2249
+                                 ref_u - !!my * 3 * src_stride_u - !!mx * 3, src_stride_u,
2250
+                                 bw + !!mx * 7, bh + !!my * 7,
2251
+                                 x - !!mx * 3, y - !!my * 3, w, h);
2252
+        ref_u = s->edge_emu_buffer + !!my * 3 * 80 + !!mx * 3;
2253
+        mc[!!mx][!!my](dst_u, dst_stride, ref_u, 80, bh, mx, my);
2254
+
2255
+        s->vdsp.emulated_edge_mc(s->edge_emu_buffer, 80,
2256
+                                 ref_v - !!my * 3 * src_stride_v - !!mx * 3, src_stride_v,
2257
+                                 bw + !!mx * 7, bh + !!my * 7,
2258
+                                 x - !!mx * 3, y - !!my * 3, w, h);
2259
+        ref_v = s->edge_emu_buffer + !!my * 3 * 80 + !!mx * 3;
2260
+        mc[!!mx][!!my](dst_v, dst_stride, ref_v, 80, bh, mx, my);
2261
+    } else {
2262
+        mc[!!mx][!!my](dst_u, dst_stride, ref_u, src_stride_u, bh, mx, my);
2263
+        mc[!!mx][!!my](dst_v, dst_stride, ref_v, src_stride_v, bh, mx, my);
2264
+    }
2265
+}
2266
+
2267
+static void inter_recon(AVCodecContext *ctx)
2268
+{
2269
+    static const uint8_t bwlog_tab[2][N_BS_SIZES] = {
2270
+        { 0, 0, 1, 1, 1, 2, 2, 2, 3, 3, 3, 4, 4 },
2271
+        { 1, 1, 2, 2, 2, 3, 3, 3, 4, 4, 4, 4, 4 },
2272
+    };
2273
+    VP9Context *s = ctx->priv_data;
2274
+    VP9Block *const b = &s->b;
2275
+    int row = b->row, col = b->col;
2276
+    AVFrame *ref1 = s->refs[s->refidx[b->ref[0]]];
2277
+    AVFrame *ref2 = b->comp ? s->refs[s->refidx[b->ref[1]]] : NULL;
2278
+    int w = ctx->width, h = ctx->height;
2279
+    ptrdiff_t ls_y = b->y_stride, ls_uv = b->uv_stride;
2280
+
2281
+    // y inter pred
2282
+    if (b->bs > BS_8x8) {
2283
+        if (b->bs == BS_8x4) {
2284
+            mc_luma_dir(s, s->dsp.mc[3][b->filter][0], b->dst[0], ls_y,
2285
+                        ref1->data[0], ref1->linesize[0],
2286
+                        row << 3, col << 3, &b->mv[0][0], 8, 4, w, h);
2287
+            mc_luma_dir(s, s->dsp.mc[3][b->filter][0],
2288
+                        b->dst[0] + 4 * ls_y, ls_y,
2289
+                        ref1->data[0], ref1->linesize[0],
2290
+                        (row << 3) + 4, col << 3, &b->mv[2][0], 8, 4, w, h);
2291
+
2292
+            if (b->comp) {
2293
+                mc_luma_dir(s, s->dsp.mc[3][b->filter][1], b->dst[0], ls_y,
2294
+                            ref2->data[0], ref2->linesize[0],
2295
+                            row << 3, col << 3, &b->mv[0][1], 8, 4, w, h);
2296
+                mc_luma_dir(s, s->dsp.mc[3][b->filter][1],
2297
+                            b->dst[0] + 4 * ls_y, ls_y,
2298
+                            ref2->data[0], ref2->linesize[0],
2299
+                            (row << 3) + 4, col << 3, &b->mv[2][1], 8, 4, w, h);
2300
+            }
2301
+        } else if (b->bs == BS_4x8) {
2302
+            mc_luma_dir(s, s->dsp.mc[4][b->filter][0], b->dst[0], ls_y,
2303
+                        ref1->data[0], ref1->linesize[0],
2304
+                        row << 3, col << 3, &b->mv[0][0], 4, 8, w, h);
2305
+            mc_luma_dir(s, s->dsp.mc[4][b->filter][0], b->dst[0] + 4, ls_y,
2306
+                        ref1->data[0], ref1->linesize[0],
2307
+                        row << 3, (col << 3) + 4, &b->mv[1][0], 4, 8, w, h);
2308
+
2309
+            if (b->comp) {
2310
+                mc_luma_dir(s, s->dsp.mc[4][b->filter][1], b->dst[0], ls_y,
2311
+                            ref2->data[0], ref2->linesize[0],
2312
+                            row << 3, col << 3, &b->mv[0][1], 4, 8, w, h);
2313
+                mc_luma_dir(s, s->dsp.mc[4][b->filter][1], b->dst[0] + 4, ls_y,
2314
+                            ref2->data[0], ref2->linesize[0],
2315
+                            row << 3, (col << 3) + 4, &b->mv[1][1], 4, 8, w, h);
2316
+            }
2317
+        } else {
2318
+            av_assert2(b->bs == BS_4x4);
2319
+
2320
+            // FIXME if two horizontally adjacent blocks have the same MV,
2321
+            // do a w8 instead of a w4 call
2322
+            mc_luma_dir(s, s->dsp.mc[4][b->filter][0], b->dst[0], ls_y,
2323
+                        ref1->data[0], ref1->linesize[0],
2324
+                        row << 3, col << 3, &b->mv[0][0], 4, 4, w, h);
2325
+            mc_luma_dir(s, s->dsp.mc[4][b->filter][0], b->dst[0] + 4, ls_y,
2326
+                        ref1->data[0], ref1->linesize[0],
2327
+                        row << 3, (col << 3) + 4, &b->mv[1][0], 4, 4, w, h);
2328
+            mc_luma_dir(s, s->dsp.mc[4][b->filter][0],
2329
+                        b->dst[0] + 4 * ls_y, ls_y,
2330
+                        ref1->data[0], ref1->linesize[0],
2331
+                        (row << 3) + 4, col << 3, &b->mv[2][0], 4, 4, w, h);
2332
+            mc_luma_dir(s, s->dsp.mc[4][b->filter][0],
2333
+                        b->dst[0] + 4 * ls_y + 4, ls_y,
2334
+                        ref1->data[0], ref1->linesize[0],
2335
+                        (row << 3) + 4, (col << 3) + 4, &b->mv[3][0], 4, 4, w, h);
2336
+
2337
+            if (b->comp) {
2338
+                mc_luma_dir(s, s->dsp.mc[4][b->filter][1], b->dst[0], ls_y,
2339
+                            ref2->data[0], ref2->linesize[0],
2340
+                            row << 3, col << 3, &b->mv[0][1], 4, 4, w, h);
2341
+                mc_luma_dir(s, s->dsp.mc[4][b->filter][1], b->dst[0] + 4, ls_y,
2342
+                            ref2->data[0], ref2->linesize[0],
2343
+                            row << 3, (col << 3) + 4, &b->mv[1][1], 4, 4, w, h);
2344
+                mc_luma_dir(s, s->dsp.mc[4][b->filter][1],
2345
+                            b->dst[0] + 4 * ls_y, ls_y,
2346
+                            ref2->data[0], ref2->linesize[0],
2347
+                            (row << 3) + 4, col << 3, &b->mv[2][1], 4, 4, w, h);
2348
+                mc_luma_dir(s, s->dsp.mc[4][b->filter][1],
2349
+                            b->dst[0] + 4 * ls_y + 4, ls_y,
2350
+                            ref2->data[0], ref2->linesize[0],
2351
+                            (row << 3) + 4, (col << 3) + 4, &b->mv[3][1], 4, 4, w, h);
2352
+            }
2353
+        }
2354
+    } else {
2355
+        int bwl = bwlog_tab[0][b->bs];
2356
+        int bw = bwh_tab[0][b->bs][0] * 4, bh = bwh_tab[0][b->bs][1] * 4;
2357
+
2358
+        mc_luma_dir(s, s->dsp.mc[bwl][b->filter][0], b->dst[0], ls_y,
2359
+                    ref1->data[0], ref1->linesize[0],
2360
+                    row << 3, col << 3, &b->mv[0][0],bw, bh, w, h);
2361
+
2362
+        if (b->comp)
2363
+            mc_luma_dir(s, s->dsp.mc[bwl][b->filter][1], b->dst[0], ls_y,
2364
+                        ref2->data[0], ref2->linesize[0],
2365
+                        row << 3, col << 3, &b->mv[0][1], bw, bh, w, h);
2366
+    }
2367
+
2368
+    // uv inter pred
2369
+    {
2370
+        int bwl = bwlog_tab[1][b->bs];
2371
+        int bw = bwh_tab[1][b->bs][0] * 4, bh = bwh_tab[1][b->bs][1] * 4;
2372
+        VP56mv mvuv;
2373
+
2374
+        w = (w + 1) >> 1;
2375
+        h = (h + 1) >> 1;
2376
+        if (b->bs > BS_8x8) {
2377
+            mvuv.x = ROUNDED_DIV(b->mv[0][0].x + b->mv[1][0].x + b->mv[2][0].x + b->mv[3][0].x, 4);
2378
+            mvuv.y = ROUNDED_DIV(b->mv[0][0].y + b->mv[1][0].y + b->mv[2][0].y + b->mv[3][0].y, 4);
2379
+        } else {
2380
+            mvuv = b->mv[0][0];
2381
+        }
2382
+
2383
+        mc_chroma_dir(s, s->dsp.mc[bwl][b->filter][0],
2384
+                      b->dst[1], b->dst[2], ls_uv,
2385
+                      ref1->data[1], ref1->linesize[1],
2386
+                      ref1->data[2], ref1->linesize[2],
2387
+                      row << 2, col << 2, &mvuv, bw, bh, w, h);
2388
+
2389
+        if (b->comp) {
2390
+            if (b->bs > BS_8x8) {
2391
+                mvuv.x = ROUNDED_DIV(b->mv[0][1].x + b->mv[1][1].x + b->mv[2][1].x + b->mv[3][1].x, 4);
2392
+                mvuv.y = ROUNDED_DIV(b->mv[0][1].y + b->mv[1][1].y + b->mv[2][1].y + b->mv[3][1].y, 4);
2393
+            } else {
2394
+                mvuv = b->mv[0][1];
2395
+            }
2396
+            mc_chroma_dir(s, s->dsp.mc[bwl][b->filter][1],
2397
+                          b->dst[1], b->dst[2], ls_uv,
2398
+                          ref2->data[1], ref2->linesize[1],
2399
+                          ref2->data[2], ref2->linesize[2],
2400
+                          row << 2, col << 2, &mvuv, bw, bh, w, h);
2401
+        }
2402
+    }
2403
+
2404
+    if (!b->skip) {
2405
+        /* mostly copied intra_reconn() */
2406
+
2407
+        int w4 = bwh_tab[1][b->bs][0] << 1, step1d = 1 << b->tx, n;
2408
+        int h4 = bwh_tab[1][b->bs][1] << 1, x, y, step = 1 << (b->tx * 2);
2409
+        int end_x = FFMIN(2 * (s->cols - col), w4);
2410
+        int end_y = FFMIN(2 * (s->rows - row), h4);
2411
+        int tx = 4 * s->lossless + b->tx, uvtx = b->uvtx + 4 * s->lossless;
2412
+        int uvstep1d = 1 << b->uvtx, p;
2413
+        uint8_t *dst = b->dst[0];
2414
+
2415
+        // y itxfm add
2416
+        for (n = 0, y = 0; y < end_y; y += step1d) {
2417
+            uint8_t *ptr = dst;
2418
+            for (x = 0; x < end_x; x += step1d, ptr += 4 * step1d, n += step) {
2419
+                int eob = b->tx > TX_8X8 ? AV_RN16A(&s->eob[n]) : s->eob[n];
2420
+
2421
+                if (eob)
2422
+                    s->dsp.itxfm_add[tx][DCT_DCT](ptr, b->y_stride,
2423
+                                                  s->block + 16 * n, eob);
2424
+            }
2425
+            dst += 4 * b->y_stride * step1d;
2426
+        }
2427
+
2428
+        // uv itxfm add
2429
+        h4 >>= 1;
2430
+        w4 >>= 1;
2431
+        end_x >>= 1;
2432
+        end_y >>= 1;
2433
+        step = 1 << (b->uvtx * 2);
2434
+        for (p = 0; p < 2; p++) {
2435
+            dst = b->dst[p + 1];
2436
+            for (n = 0, y = 0; y < end_y; y += uvstep1d) {
2437
+                uint8_t *ptr = dst;
2438
+                for (x = 0; x < end_x; x += uvstep1d, ptr += 4 * uvstep1d, n += step) {
2439
+                    int eob = b->uvtx > TX_8X8 ? AV_RN16A(&s->uveob[p][n]) : s->uveob[p][n];
2440
+
2441
+                    if (eob)
2442
+                        s->dsp.itxfm_add[uvtx][DCT_DCT](ptr, b->uv_stride,
2443
+                                                        s->uvblock[p] + 16 * n, eob);
2444
+                }
2445
+                dst += 4 * uvstep1d * b->uv_stride;
2446
+            }
2447
+        }
2448
+    }
2449
+}
2450
+
2451
+static av_always_inline void mask_edges(struct VP9Filter *lflvl, int is_uv,
2452
+                                        int row_and_7, int col_and_7,
2453
+                                        int w, int h, int col_end, int row_end,
2454
+                                        enum TxfmMode tx, int skip_inter)
2455
+{
2456
+    // FIXME I'm pretty sure all loops can be replaced by a single LUT if
2457
+    // we make VP9Filter.mask uint64_t (i.e. row/col all single variable)
2458
+    // and make the LUT 5-indexed (bl, bp, is_uv, tx and row/col), and then
2459
+    // use row_and_7/col_and_7 as shifts (1*col_and_7+8*row_and_7)
2460
+
2461
+    // the intended behaviour of the vp9 loopfilter is to work on 8-pixel
2462
+    // edges. This means that for UV, we work on two subsampled blocks at
2463
+    // a time, and we only use the topleft block's mode information to set
2464
+    // things like block strength. Thus, for any block size smaller than
2465
+    // 16x16, ignore the odd portion of the block.
2466
+    if (tx == TX_4X4 && is_uv) {
2467
+        if (h == 1) {
2468
+            if (row_and_7 & 1)
2469
+                return;
2470
+            if (!row_end)
2471
+                h += 1;
2472
+        }
2473
+        if (w == 1) {
2474
+            if (col_and_7 & 1)
2475
+                return;
2476
+            if (!col_end)
2477
+                w += 1;
2478
+        }
2479
+    }
2480
+
2481
+    if (tx == TX_4X4 && !skip_inter) {
2482
+        int t = 1 << col_and_7, m_col = (t << w) - t, y;
2483
+        int m_col_odd = (t << (w - 1)) - t;
2484
+
2485
+        // on 32-px edges, use the 8-px wide loopfilter; else, use 4-px wide
2486
+        if (is_uv) {
2487
+            int m_row_8 = m_col & 0x01, m_row_4 = m_col - m_row_8;
2488
+
2489
+            for (y = row_and_7; y < h + row_and_7; y++) {
2490
+                int col_mask_id = 2 - !(y & 7);
2491
+
2492
+                lflvl->mask[is_uv][0][y][1] |= m_row_8;
2493
+                lflvl->mask[is_uv][0][y][2] |= m_row_4;
2494
+                // for odd lines, if the odd col is not being filtered,
2495
+                // skip odd row also:
2496
+                // .---. <-- a
2497
+                // |   |
2498
+                // |___| <-- b
2499
+                // ^   ^
2500
+                // c   d
2501
+                //
2502
+                // if a/c are even row/col and b/d are odd, and d is skipped,
2503
+                // e.g. right edge of size-66x66.webm, then skip b also (bug)
2504
+                if ((col_end & 1) && (y & 1)) {
2505
+                    lflvl->mask[is_uv][1][y][col_mask_id] |= m_col_odd;
2506
+                } else {
2507
+                    lflvl->mask[is_uv][1][y][col_mask_id] |= m_col;
2508
+                }
2509
+            }
2510
+        } else {
2511
+            int m_row_8 = m_col & 0x11, m_row_4 = m_col - m_row_8;
2512
+
2513
+            for (y = row_and_7; y < h + row_and_7; y++) {
2514
+                int col_mask_id = 2 - !(y & 3);
2515
+
2516
+                lflvl->mask[is_uv][0][y][1] |= m_row_8; // row edge
2517
+                lflvl->mask[is_uv][0][y][2] |= m_row_4;
2518
+                lflvl->mask[is_uv][1][y][col_mask_id] |= m_col; // col edge
2519
+                lflvl->mask[is_uv][0][y][3] |= m_col;
2520
+                lflvl->mask[is_uv][1][y][3] |= m_col;
2521
+            }
2522
+        }
2523
+    } else {
2524
+        int y, t = 1 << col_and_7, m_col = (t << w) - t;
2525
+
2526
+        if (!skip_inter) {
2527
+            int mask_id = (tx == TX_8X8);
2528
+            int l2 = tx + is_uv - 1, step1d = 1 << l2;
2529
+            static const unsigned masks[4] = { 0xff, 0x55, 0x11, 0x01 };
2530
+            int m_row = m_col & masks[l2];
2531
+
2532
+            // at odd UV col/row edges tx16/tx32 loopfilter edges, force
2533
+            // 8wd loopfilter to prevent going off the visible edge.
2534
+            if (is_uv && tx > TX_8X8 && (w ^ (w - 1)) == 1) {
2535
+                int m_row_16 = ((t << (w - 1)) - t) & masks[l2];
2536
+                int m_row_8 = m_row - m_row_16;
2537
+
2538
+                for (y = row_and_7; y < h + row_and_7; y++) {
2539
+                    lflvl->mask[is_uv][0][y][0] |= m_row_16;
2540
+                    lflvl->mask[is_uv][0][y][1] |= m_row_8;
2541
+                }
2542
+            } else {
2543
+                for (y = row_and_7; y < h + row_and_7; y++)
2544
+                    lflvl->mask[is_uv][0][y][mask_id] |= m_row;
2545
+            }
2546
+
2547
+            if (is_uv && tx > TX_8X8 && (h ^ (h - 1)) == 1) {
2548
+                for (y = row_and_7; y < h + row_and_7 - 1; y += step1d)
2549
+                    lflvl->mask[is_uv][1][y][0] |= m_col;
2550
+                if (y - row_and_7 == h - 1)
2551
+                    lflvl->mask[is_uv][1][y][1] |= m_col;
2552
+            } else {
2553
+                for (y = row_and_7; y < h + row_and_7; y += step1d)
2554
+                    lflvl->mask[is_uv][1][y][mask_id] |= m_col;
2555
+            }
2556
+        } else if (tx != TX_4X4) {
2557
+            int mask_id;
2558
+
2559
+            mask_id = (tx == TX_8X8) || (is_uv && h == 1);
2560
+            lflvl->mask[is_uv][1][row_and_7][mask_id] |= m_col;
2561
+            mask_id = (tx == TX_8X8) || (is_uv && w == 1);
2562
+            for (y = row_and_7; y < h + row_and_7; y++)
2563
+                lflvl->mask[is_uv][0][y][mask_id] |= t;
2564
+        } else if (is_uv) {
2565
+            int t8 = t & 0x01, t4 = t - t8;
2566
+
2567
+            for (y = row_and_7; y < h + row_and_7; y++) {
2568
+                lflvl->mask[is_uv][0][y][2] |= t4;
2569
+                lflvl->mask[is_uv][0][y][1] |= t8;
2570
+            }
2571
+            lflvl->mask[is_uv][1][row_and_7][2 - !(row_and_7 & 7)] |= m_col;
2572
+        } else {
2573
+            int t8 = t & 0x11, t4 = t - t8;
2574
+
2575
+            for (y = row_and_7; y < h + row_and_7; y++) {
2576
+                lflvl->mask[is_uv][0][y][2] |= t4;
2577
+                lflvl->mask[is_uv][0][y][1] |= t8;
2578
+            }
2579
+            lflvl->mask[is_uv][1][row_and_7][2 - !(row_and_7 & 3)] |= m_col;
2580
+        }
2581
+    }
2582
+}
2583
+
2584
+static int decode_b(AVCodecContext *ctx, int row, int col,
2585
+                    struct VP9Filter *lflvl, ptrdiff_t yoff, ptrdiff_t uvoff,
2586
+                    enum BlockLevel bl, enum BlockPartition bp)
2587
+{
2588
+    VP9Context *s = ctx->priv_data;
2589
+    VP9Block *const b = &s->b;
2590
+    enum BlockSize bs = bl * 3 + bp;
2591
+    int res, y, w4 = bwh_tab[1][bs][0], h4 = bwh_tab[1][bs][1], lvl;
2592
+    int emu[2];
2593
+
2594
+    b->row = row;
2595
+    b->row7 = row & 7;
2596
+    b->col = col;
2597
+    b->col7 = col & 7;
2598
+    s->min_mv.x = -(128 + col * 64);
2599
+    s->min_mv.y = -(128 + row * 64);
2600
+    s->max_mv.x = 128 + (s->cols - col - w4) * 64;
2601
+    s->max_mv.y = 128 + (s->rows - row - h4) * 64;
2602
+    b->bs = bs;
2603
+    decode_mode(ctx);
2604
+    b->uvtx = b->tx - (w4 * 2 == (1 << b->tx) || h4 * 2 == (1 << b->tx));
2605
+
2606
+    if (!b->skip) {
2607
+        if ((res = decode_coeffs(ctx)) < 0)
2608
+            return res;
2609
+    } else {
2610
+        int pl;
2611
+
2612
+        memset(&s->above_y_nnz_ctx[col * 2], 0, w4 * 2);
2613
+        memset(&s->left_y_nnz_ctx[(row & 7) << 1], 0, h4 * 2);
2614
+        for (pl = 0; pl < 2; pl++) {
2615
+            memset(&s->above_uv_nnz_ctx[pl][col], 0, w4);
2616
+            memset(&s->left_uv_nnz_ctx[pl][row & 7], 0, h4);
2617
+        }
2618
+    }
2619
+
2620
+    // emulated overhangs if the stride of the target buffer can't hold. This
2621
+    // allows to support emu-edge and so on even if we have large block
2622
+    // overhangs
2623
+    emu[0] = (col + w4) * 8 > s->f->linesize[0] ||
2624
+             (row + h4) > s->rows + 2 * !(ctx->flags & CODEC_FLAG_EMU_EDGE);
2625
+    emu[1] = (col + w4) * 4 > s->f->linesize[1] ||
2626
+             (row + h4) > s->rows + 2 * !(ctx->flags & CODEC_FLAG_EMU_EDGE);
2627
+    if (emu[0]) {
2628
+        b->dst[0] = s->tmp_y;
2629
+        b->y_stride = 64;
2630
+    } else {
2631
+        b->dst[0] = s->f->data[0] + yoff;
2632
+        b->y_stride = s->f->linesize[0];
2633
+    }
2634
+    if (emu[1]) {
2635
+        b->dst[1] = s->tmp_uv[0];
2636
+        b->dst[2] = s->tmp_uv[1];
2637
+        b->uv_stride = 32;
2638
+    } else {
2639
+        b->dst[1] = s->f->data[1] + uvoff;
2640
+        b->dst[2] = s->f->data[2] + uvoff;
2641
+        b->uv_stride = s->f->linesize[1];
2642
+    }
2643
+    if (b->intra) {
2644
+        intra_recon(ctx, yoff, uvoff);
2645
+    } else {
2646
+        inter_recon(ctx);
2647
+    }
2648
+    if (emu[0]) {
2649
+        int w = FFMIN(s->cols - col, w4) * 8, h = FFMIN(s->rows - row, h4) * 8, n, o = 0;
2650
+
2651
+        for (n = 0; o < w; n++) {
2652
+            int bw = 64 >> n;
2653
+
2654
+            av_assert2(n <= 4);
2655
+            if (w & bw) {
2656
+                s->dsp.mc[n][0][0][0][0](s->f->data[0] + yoff + o, s->f->linesize[0],
2657
+                                         s->tmp_y + o, 64, h, 0, 0);
2658
+                o += bw;
2659
+            }
2660
+        }
2661
+    }
2662
+    if (emu[1]) {
2663
+        int w = FFMIN(s->cols - col, w4) * 4, h = FFMIN(s->rows - row, h4) * 4, n, o = 0;
2664
+
2665
+        for (n = 1; o < w; n++) {
2666
+            int bw = 64 >> n;
2667
+
2668
+            av_assert2(n <= 4);
2669
+            if (w & bw) {
2670
+                s->dsp.mc[n][0][0][0][0](s->f->data[1] + uvoff + o, s->f->linesize[1],
2671
+                                         s->tmp_uv[0] + o, 32, h, 0, 0);
2672
+                s->dsp.mc[n][0][0][0][0](s->f->data[2] + uvoff + o, s->f->linesize[2],
2673
+                                         s->tmp_uv[1] + o, 32, h, 0, 0);
2674
+                o += bw;
2675
+            }
2676
+        }
2677
+    }
2678
+
2679
+    // pick filter level and find edges to apply filter to
2680
+    if (s->filter.level &&
2681
+        (lvl = s->segmentation.feat[b->seg_id].lflvl[b->intra ? 0 : b->ref[0] + 1]
2682
+                                                    [b->mode[3] != ZEROMV]) > 0) {
2683
+        int x_end = FFMIN(s->cols - col, w4), y_end = FFMIN(s->rows - row, h4);
2684
+        int skip_inter = !b->intra && b->skip;
2685
+
2686
+        for (y = 0; y < h4; y++)
2687
+            memset(&lflvl->level[((row & 7) + y) * 8 + (col & 7)], lvl, w4);
2688
+        mask_edges(lflvl, 0, row & 7, col & 7, x_end, y_end, 0, 0, b->tx, skip_inter);
2689
+        mask_edges(lflvl, 1, row & 7, col & 7, x_end, y_end,
2690
+                   s->cols & 1 && col + w4 >= s->cols ? s->cols & 7 : 0,
2691
+                   s->rows & 1 && row + h4 >= s->rows ? s->rows & 7 : 0,
2692
+                   b->uvtx, skip_inter);
2693
+
2694
+        if (!s->filter.lim_lut[lvl]) {
2695
+            int sharp = s->filter.sharpness;
2696
+            int limit = lvl;
2697
+
2698
+            if (sharp > 0) {
2699
+                limit >>= (sharp + 3) >> 2;
2700
+                limit = FFMIN(limit, 9 - sharp);
2701
+            }
2702
+            limit = FFMAX(limit, 1);
2703
+
2704
+            s->filter.lim_lut[lvl] = limit;
2705
+            s->filter.mblim_lut[lvl] = 2 * (lvl + 2) + limit;
2706
+        }
2707
+    }
2708
+
2709
+    return 0;
2710
+}
2711
+
2712
+static int decode_sb(AVCodecContext *ctx, int row, int col, struct VP9Filter *lflvl,
2713
+                     ptrdiff_t yoff, ptrdiff_t uvoff, enum BlockLevel bl)
2714
+{
2715
+    VP9Context *s = ctx->priv_data;
2716
+    int c = ((s->above_partition_ctx[col] >> (3 - bl)) & 1) |
2717
+            (((s->left_partition_ctx[row & 0x7] >> (3 - bl)) & 1) << 1), res;
2718
+    const uint8_t *p = s->keyframe ? vp9_default_kf_partition_probs[bl][c] :
2719
+                                     s->prob.p.partition[bl][c];
2720
+    enum BlockPartition bp;
2721
+    ptrdiff_t hbs = 4 >> bl;
2722
+
2723
+    if (bl == BL_8X8) {
2724
+        bp = vp8_rac_get_tree(&s->c, vp9_partition_tree, p);
2725
+        res = decode_b(ctx, row, col, lflvl, yoff, uvoff, bl, bp);
2726
+    } else if (col + hbs < s->cols) {
2727
+        if (row + hbs < s->rows) {
2728
+            bp = vp8_rac_get_tree(&s->c, vp9_partition_tree, p);
2729
+            switch (bp) {
2730
+            case PARTITION_NONE:
2731
+                res = decode_b(ctx, row, col, lflvl, yoff, uvoff, bl, bp);
2732
+                break;
2733
+            case PARTITION_H:
2734
+                if (!(res = decode_b(ctx, row, col, lflvl, yoff, uvoff, bl, bp))) {
2735
+                    yoff  += hbs * 8 * s->f->linesize[0];
2736
+                    uvoff += hbs * 4 * s->f->linesize[1];
2737
+                    res = decode_b(ctx, row + hbs, col, lflvl, yoff, uvoff, bl, bp);
2738
+                }
2739
+                break;
2740
+            case PARTITION_V:
2741
+                if (!(res = decode_b(ctx, row, col, lflvl, yoff, uvoff, bl, bp))) {
2742
+                    yoff  += hbs * 8;
2743
+                    uvoff += hbs * 4;
2744
+                    res = decode_b(ctx, row, col + hbs, lflvl, yoff, uvoff, bl, bp);
2745
+                }
2746
+                break;
2747
+            case PARTITION_SPLIT:
2748
+                if (!(res = decode_sb(ctx, row, col, lflvl, yoff, uvoff, bl + 1))) {
2749
+                    if (!(res = decode_sb(ctx, row, col + hbs, lflvl,
2750
+                                          yoff + 8 * hbs, uvoff + 4 * hbs, bl + 1))) {
2751
+                        yoff  += hbs * 8 * s->f->linesize[0];
2752
+                        uvoff += hbs * 4 * s->f->linesize[1];
2753
+                        if (!(res = decode_sb(ctx, row + hbs, col, lflvl,
2754
+                                              yoff, uvoff, bl + 1)))
2755
+                            res = decode_sb(ctx, row + hbs, col + hbs, lflvl,
2756
+                                            yoff + 8 * hbs, uvoff + 4 * hbs, bl + 1);
2757
+                    }
2758
+                }
2759
+                break;
2760
+            }
2761
+        } else if (vp56_rac_get_prob_branchy(&s->c, p[1])) {
2762
+            bp = PARTITION_SPLIT;
2763
+            if (!(res = decode_sb(ctx, row, col, lflvl, yoff, uvoff, bl + 1)))
2764
+                res = decode_sb(ctx, row, col + hbs, lflvl,
2765
+                                yoff + 8 * hbs, uvoff + 4 * hbs, bl + 1);
2766
+        } else {
2767
+            bp = PARTITION_H;
2768
+            res = decode_b(ctx, row, col, lflvl, yoff, uvoff, bl, bp);
2769
+        }
2770
+    } else if (row + hbs < s->rows) {
2771
+        if (vp56_rac_get_prob_branchy(&s->c, p[2])) {
2772
+            bp = PARTITION_SPLIT;
2773
+            if (!(res = decode_sb(ctx, row, col, lflvl, yoff, uvoff, bl + 1))) {
2774
+                yoff  += hbs * 8 * s->f->linesize[0];
2775
+                uvoff += hbs * 4 * s->f->linesize[1];
2776
+                res = decode_sb(ctx, row + hbs, col, lflvl,
2777
+                                yoff, uvoff, bl + 1);
2778
+            }
2779
+        } else {
2780
+            bp = PARTITION_V;
2781
+            res = decode_b(ctx, row, col, lflvl, yoff, uvoff, bl, bp);
2782
+        }
2783
+    } else {
2784
+        bp = PARTITION_SPLIT;
2785
+        res = decode_sb(ctx, row, col, lflvl, yoff, uvoff, bl + 1);
2786
+    }
2787
+    s->counts.partition[bl][c][bp]++;
2788
+
2789
+    return res;
2790
+}
2791
+
2792
+static void loopfilter_sb(AVCodecContext *ctx, struct VP9Filter *lflvl,
2793
+                          int row, int col, ptrdiff_t yoff, ptrdiff_t uvoff)
2794
+{
2795
+    VP9Context *s = ctx->priv_data;
2796
+    uint8_t *dst = s->f->data[0] + yoff, *lvl = lflvl->level;
2797
+    ptrdiff_t ls_y = s->f->linesize[0], ls_uv = s->f->linesize[1];
2798
+    int y, x, p;
2799
+
2800
+    // FIXME in how far can we interleave the v/h loopfilter calls? E.g.
2801
+    // if you think of them as acting on a 8x8 block max, we can interleave
2802
+    // each v/h within the single x loop, but that only works if we work on
2803
+    // 8 pixel blocks, and we won't always do that (we want at least 16px
2804
+    // to use SSE2 optimizations, perhaps 32 for AVX2)
2805
+
2806
+    // filter edges between columns, Y plane (e.g. block1 | block2)
2807
+    for (y = 0; y < 8; y += 2, dst += 16 * ls_y, lvl += 16) {
2808
+        uint8_t *ptr = dst, *l = lvl, *hmask1 = lflvl->mask[0][0][y];
2809
+        uint8_t *hmask2 = lflvl->mask[0][0][y + 1];
2810
+        unsigned hm1 = hmask1[0] | hmask1[1] | hmask1[2], hm13 = hmask1[3];
2811
+        unsigned hm2 = hmask2[1] | hmask2[2], hm23 = hmask2[3];
2812
+        unsigned hm = hm1 | hm2 | hm13 | hm23;
2813
+
2814
+        for (x = 1; hm & ~(x - 1); x <<= 1, ptr += 8, l++) {
2815
+            if (hm1 & x) {
2816
+                int L = *l, H = L >> 4;
2817
+                int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2818
+
2819
+                if (col || x > 1) {
2820
+                    if (hmask1[0] & x) {
2821
+                        if (hmask2[0] & x) {
2822
+                            av_assert2(l[8] == L);
2823
+                            s->dsp.loop_filter_16[0](ptr, ls_y, E, I, H);
2824
+                        } else {
2825
+                            s->dsp.loop_filter_8[2][0](ptr, ls_y, E, I, H);
2826
+                        }
2827
+                    } else if (hm2 & x) {
2828
+                        L = l[8];
2829
+                        H |= (L >> 4) << 8;
2830
+                        E |= s->filter.mblim_lut[L] << 8;
2831
+                        I |= s->filter.lim_lut[L] << 8;
2832
+                        s->dsp.loop_filter_mix2[!!(hmask1[1] & x)]
2833
+                                               [!!(hmask2[1] & x)]
2834
+                                               [0](ptr, ls_y, E, I, H);
2835
+                    } else {
2836
+                        s->dsp.loop_filter_8[!!(hmask1[1] & x)]
2837
+                                            [0](ptr, ls_y, E, I, H);
2838
+                    }
2839
+                }
2840
+            } else if (hm2 & x) {
2841
+                int L = l[8], H = L >> 4;
2842
+                int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2843
+
2844
+                if (col || x > 1) {
2845
+                    s->dsp.loop_filter_8[!!(hmask2[1] & x)]
2846
+                                        [0](ptr + 8 * ls_y, ls_y, E, I, H);
2847
+                }
2848
+            }
2849
+            if (hm13 & x) {
2850
+                int L = *l, H = L >> 4;
2851
+                int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2852
+
2853
+                if (hm23 & x) {
2854
+                    L = l[8];
2855
+                    H |= (L >> 4) << 8;
2856
+                    E |= s->filter.mblim_lut[L] << 8;
2857
+                    I |= s->filter.lim_lut[L] << 8;
2858
+                    s->dsp.loop_filter_mix2[0][0][0](ptr + 4, ls_y, E, I, H);
2859
+                } else {
2860
+                    s->dsp.loop_filter_8[0][0](ptr + 4, ls_y, E, I, H);
2861
+                }
2862
+            } else if (hm23 & x) {
2863
+                int L = l[8], H = L >> 4;
2864
+                int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2865
+
2866
+                s->dsp.loop_filter_8[0][0](ptr + 8 * ls_y + 4, ls_y, E, I, H);
2867
+            }
2868
+        }
2869
+    }
2870
+
2871
+    //                                          block1
2872
+    // filter edges between rows, Y plane (e.g. ------)
2873
+    //                                          block2
2874
+    dst = s->f->data[0] + yoff;
2875
+    lvl = lflvl->level;
2876
+    for (y = 0; y < 8; y++, dst += 8 * ls_y, lvl += 8) {
2877
+        uint8_t *ptr = dst, *l = lvl, *vmask = lflvl->mask[0][1][y];
2878
+        unsigned vm = vmask[0] | vmask[1] | vmask[2], vm3 = vmask[3];
2879
+
2880
+        for (x = 1; vm & ~(x - 1); x <<= 2, ptr += 16, l += 2) {
2881
+            if (row || y) {
2882
+                if (vm & x) {
2883
+                    int L = *l, H = L >> 4;
2884
+                    int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2885
+
2886
+                    if (vmask[0] & x) {
2887
+                        if (vmask[0] & (x << 1)) {
2888
+                            av_assert2(l[1] == L);
2889
+                            s->dsp.loop_filter_16[1](ptr, ls_y, E, I, H);
2890
+                        } else {
2891
+                            s->dsp.loop_filter_8[2][1](ptr, ls_y, E, I, H);
2892
+                        }
2893
+                    } else if (vm & (x << 1)) {
2894
+                        L = l[1];
2895
+                        H |= (L >> 4) << 8;
2896
+                        E |= s->filter.mblim_lut[L] << 8;
2897
+                        I |= s->filter.lim_lut[L] << 8;
2898
+                        s->dsp.loop_filter_mix2[!!(vmask[1] &  x)]
2899
+                                               [!!(vmask[1] & (x << 1))]
2900
+                                               [1](ptr, ls_y, E, I, H);
2901
+                    } else {
2902
+                        s->dsp.loop_filter_8[!!(vmask[1] & x)]
2903
+                                            [1](ptr, ls_y, E, I, H);
2904
+                    }
2905
+                } else if (vm & (x << 1)) {
2906
+                    int L = l[1], H = L >> 4;
2907
+                    int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2908
+
2909
+                    s->dsp.loop_filter_8[!!(vmask[1] & (x << 1))]
2910
+                                        [1](ptr + 8, ls_y, E, I, H);
2911
+                }
2912
+            }
2913
+            if (vm3 & x) {
2914
+                int L = *l, H = L >> 4;
2915
+                int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2916
+
2917
+                if (vm3 & (x << 1)) {
2918
+                    L = l[1];
2919
+                    H |= (L >> 4) << 8;
2920
+                    E |= s->filter.mblim_lut[L] << 8;
2921
+                    I |= s->filter.lim_lut[L] << 8;
2922
+                    s->dsp.loop_filter_mix2[0][0][1](ptr + ls_y * 4, ls_y, E, I, H);
2923
+                } else {
2924
+                    s->dsp.loop_filter_8[0][1](ptr + ls_y * 4, ls_y, E, I, H);
2925
+                }
2926
+            } else if (vm3 & (x << 1)) {
2927
+                int L = l[1], H = L >> 4;
2928
+                int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2929
+
2930
+                s->dsp.loop_filter_8[0][1](ptr + ls_y * 4 + 8, ls_y, E, I, H);
2931
+            }
2932
+        }
2933
+    }
2934
+
2935
+    // same principle but for U/V planes
2936
+    for (p = 0; p < 2; p++) {
2937
+        lvl = lflvl->level;
2938
+        dst = s->f->data[1 + p] + uvoff;
2939
+        for (y = 0; y < 8; y += 4, dst += 16 * ls_uv, lvl += 32) {
2940
+            uint8_t *ptr = dst, *l = lvl, *hmask1 = lflvl->mask[1][0][y];
2941
+            uint8_t *hmask2 = lflvl->mask[1][0][y + 2];
2942
+            unsigned hm1 = hmask1[0] | hmask1[1] | hmask1[2];
2943
+            unsigned hm2 = hmask2[1] | hmask2[2], hm = hm1 | hm2;
2944
+
2945
+            for (x = 1; hm & ~(x - 1); x <<= 1, ptr += 4) {
2946
+                if (col || x > 1) {
2947
+                    if (hm1 & x) {
2948
+                        int L = *l, H = L >> 4;
2949
+                        int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2950
+
2951
+                        if (hmask1[0] & x) {
2952
+                            if (hmask2[0] & x) {
2953
+                                av_assert2(l[16] == L);
2954
+                                s->dsp.loop_filter_16[0](ptr, ls_uv, E, I, H);
2955
+                            } else {
2956
+                                s->dsp.loop_filter_8[2][0](ptr, ls_uv, E, I, H);
2957
+                            }
2958
+                        } else if (hm2 & x) {
2959
+                            L = l[16];
2960
+                            H |= (L >> 4) << 8;
2961
+                            E |= s->filter.mblim_lut[L] << 8;
2962
+                            I |= s->filter.lim_lut[L] << 8;
2963
+                            s->dsp.loop_filter_mix2[!!(hmask1[1] & x)]
2964
+                                                   [!!(hmask2[1] & x)]
2965
+                                                   [0](ptr, ls_uv, E, I, H);
2966
+                        } else {
2967
+                            s->dsp.loop_filter_8[!!(hmask1[1] & x)]
2968
+                                                [0](ptr, ls_uv, E, I, H);
2969
+                        }
2970
+                    } else if (hm2 & x) {
2971
+                        int L = l[16], H = L >> 4;
2972
+                        int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2973
+
2974
+                        s->dsp.loop_filter_8[!!(hmask2[1] & x)]
2975
+                                            [0](ptr + 8 * ls_uv, ls_uv, E, I, H);
2976
+                    }
2977
+                }
2978
+                if (x & 0xAA)
2979
+                    l += 2;
2980
+            }
2981
+        }
2982
+        lvl = lflvl->level;
2983
+        dst = s->f->data[1 + p] + uvoff;
2984
+        for (y = 0; y < 8; y++, dst += 4 * ls_uv) {
2985
+            uint8_t *ptr = dst, *l = lvl, *vmask = lflvl->mask[1][1][y];
2986
+            unsigned vm = vmask[0] | vmask[1] | vmask[2];
2987
+
2988
+            for (x = 1; vm & ~(x - 1); x <<= 4, ptr += 16, l += 4) {
2989
+                if (row || y) {
2990
+                    if (vm & x) {
2991
+                        int L = *l, H = L >> 4;
2992
+                        int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
2993
+
2994
+                        if (vmask[0] & x) {
2995
+                            if (vmask[0] & (x << 2)) {
2996
+                                av_assert2(l[2] == L);
2997
+                                s->dsp.loop_filter_16[1](ptr, ls_uv, E, I, H);
2998
+                            } else {
2999
+                                s->dsp.loop_filter_8[2][1](ptr, ls_uv, E, I, H);
3000
+                            }
3001
+                        } else if (vm & (x << 2)) {
3002
+                            L = l[2];
3003
+                            H |= (L >> 4) << 8;
3004
+                            E |= s->filter.mblim_lut[L] << 8;
3005
+                            I |= s->filter.lim_lut[L] << 8;
3006
+                            s->dsp.loop_filter_mix2[!!(vmask[1] &  x)]
3007
+                                                   [!!(vmask[1] & (x << 2))]
3008
+                                                   [1](ptr, ls_uv, E, I, H);
3009
+                        } else {
3010
+                            s->dsp.loop_filter_8[!!(vmask[1] & x)]
3011
+                                                [1](ptr, ls_uv, E, I, H);
3012
+                        }
3013
+                    } else if (vm & (x << 2)) {
3014
+                        int L = l[2], H = L >> 4;
3015
+                        int E = s->filter.mblim_lut[L], I = s->filter.lim_lut[L];
3016
+
3017
+                        s->dsp.loop_filter_8[!!(vmask[1] & (x << 2))]
3018
+                                            [1](ptr + 8, ls_uv, E, I, H);
3019
+                    }
3020
+                }
3021
+            }
3022
+            if (y & 1)
3023
+                lvl += 16;
3024
+        }
3025
+    }
3026
+}
3027
+
3028
+static void set_tile_offset(int *start, int *end, int idx, int log2_n, int n)
3029
+{
3030
+    int sb_start = ( idx      * n) >> log2_n;
3031
+    int sb_end   = ((idx + 1) * n) >> log2_n;
3032
+    *start = FFMIN(sb_start, n) << 3;
3033
+    *end   = FFMIN(sb_end,   n) << 3;
3034
+}
3035
+
3036
+static av_always_inline void adapt_prob(uint8_t *p, unsigned ct0, unsigned ct1,
3037
+                                        int max_count, int update_factor)
3038
+{
3039
+    unsigned ct = ct0 + ct1, p2, p1;
3040
+
3041
+    if (!ct)
3042
+        return;
3043
+
3044
+    p1 = *p;
3045
+    p2 = ((ct0 << 8) + (ct >> 1)) / ct;
3046
+    p2 = av_clip(p2, 1, 255);
3047
+    ct = FFMIN(ct, max_count);
3048
+    update_factor = FASTDIV(update_factor * ct, max_count);
3049
+
3050
+    // (p1 * (256 - update_factor) + p2 * update_factor + 128) >> 8
3051
+    *p = p1 + (((p2 - p1) * update_factor + 128) >> 8);
3052
+}
3053
+
3054
+static void adapt_probs(VP9Context *s)
3055
+{
3056
+    int i, j, k, l, m;
3057
+    prob_context *p = &s->prob_ctx[s->framectxid].p;
3058
+    int uf = (s->keyframe || s->intraonly || !s->last_keyframe) ? 112 : 128;
3059
+
3060
+    // coefficients
3061
+    for (i = 0; i < 4; i++)
3062
+        for (j = 0; j < 2; j++)
3063
+            for (k = 0; k < 2; k++)
3064
+                for (l = 0; l < 6; l++)
3065
+                    for (m = 0; m < 6; m++) {
3066
+                        uint8_t *pp = s->prob_ctx[s->framectxid].coef[i][j][k][l][m];
3067
+                        unsigned *e = s->counts.eob[i][j][k][l][m];
3068
+                        unsigned *c = s->counts.coef[i][j][k][l][m];
3069
+
3070
+                        if (l == 0 && m >= 3) // dc only has 3 pt
3071
+                            break;
3072
+
3073
+                        adapt_prob(&pp[0], e[0], e[1], 24, uf);
3074
+                        adapt_prob(&pp[1], c[0], c[1] + c[2], 24, uf);
3075
+                        adapt_prob(&pp[2], c[1], c[2], 24, uf);
3076
+                    }
3077
+
3078
+    if (s->keyframe || s->intraonly) {
3079
+        memcpy(p->skip,  s->prob.p.skip,  sizeof(p->skip));
3080
+        memcpy(p->tx32p, s->prob.p.tx32p, sizeof(p->tx32p));
3081
+        memcpy(p->tx16p, s->prob.p.tx16p, sizeof(p->tx16p));
3082
+        memcpy(p->tx8p,  s->prob.p.tx8p,  sizeof(p->tx8p));
3083
+        return;
3084
+    }
3085
+
3086
+    // skip flag
3087
+    for (i = 0; i < 3; i++)
3088
+        adapt_prob(&p->skip[i], s->counts.skip[i][0], s->counts.skip[i][1], 20, 128);
3089
+
3090
+    // intra/inter flag
3091
+    for (i = 0; i < 4; i++)
3092
+        adapt_prob(&p->intra[i], s->counts.intra[i][0], s->counts.intra[i][1], 20, 128);
3093
+
3094
+    // comppred flag
3095
+    if (s->comppredmode == PRED_SWITCHABLE) {
3096
+      for (i = 0; i < 5; i++)
3097
+          adapt_prob(&p->comp[i], s->counts.comp[i][0], s->counts.comp[i][1], 20, 128);
3098
+    }
3099
+
3100
+    // reference frames
3101
+    if (s->comppredmode != PRED_SINGLEREF) {
3102
+      for (i = 0; i < 5; i++)
3103
+          adapt_prob(&p->comp_ref[i], s->counts.comp_ref[i][0],
3104
+                     s->counts.comp_ref[i][1], 20, 128);
3105
+    }
3106
+
3107
+    if (s->comppredmode != PRED_COMPREF) {
3108
+      for (i = 0; i < 5; i++) {
3109
+          uint8_t *pp = p->single_ref[i];
3110
+          unsigned (*c)[2] = s->counts.single_ref[i];
3111
+
3112
+          adapt_prob(&pp[0], c[0][0], c[0][1], 20, 128);
3113
+          adapt_prob(&pp[1], c[1][0], c[1][1], 20, 128);
3114
+      }
3115
+    }
3116
+
3117
+    // block partitioning
3118
+    for (i = 0; i < 4; i++)
3119
+        for (j = 0; j < 4; j++) {
3120
+            uint8_t *pp = p->partition[i][j];
3121
+            unsigned *c = s->counts.partition[i][j];
3122
+
3123
+            adapt_prob(&pp[0], c[0], c[1] + c[2] + c[3], 20, 128);
3124
+            adapt_prob(&pp[1], c[1], c[2] + c[3], 20, 128);
3125
+            adapt_prob(&pp[2], c[2], c[3], 20, 128);
3126
+        }
3127
+
3128
+    // tx size
3129
+    if (s->txfmmode == TX_SWITCHABLE) {
3130
+      for (i = 0; i < 2; i++) {
3131
+          unsigned *c16 = s->counts.tx16p[i], *c32 = s->counts.tx32p[i];
3132
+
3133
+          adapt_prob(&p->tx8p[i], s->counts.tx8p[i][0], s->counts.tx8p[i][1], 20, 128);
3134
+          adapt_prob(&p->tx16p[i][0], c16[0], c16[1] + c16[2], 20, 128);
3135
+          adapt_prob(&p->tx16p[i][1], c16[1], c16[2], 20, 128);
3136
+          adapt_prob(&p->tx32p[i][0], c32[0], c32[1] + c32[2] + c32[3], 20, 128);
3137
+          adapt_prob(&p->tx32p[i][1], c32[1], c32[2] + c32[3], 20, 128);
3138
+          adapt_prob(&p->tx32p[i][2], c32[2], c32[3], 20, 128);
3139
+      }
3140
+    }
3141
+
3142
+    // interpolation filter
3143
+    if (s->filtermode == FILTER_SWITCHABLE) {
3144
+        for (i = 0; i < 4; i++) {
3145
+            uint8_t *pp = p->filter[i];
3146
+            unsigned *c = s->counts.filter[i];
3147
+
3148
+            adapt_prob(&pp[0], c[0], c[1] + c[2], 20, 128);
3149
+            adapt_prob(&pp[1], c[1], c[2], 20, 128);
3150
+        }
3151
+    }
3152
+
3153
+    // inter modes
3154
+    for (i = 0; i < 7; i++) {
3155
+        uint8_t *pp = p->mv_mode[i];
3156
+        unsigned *c = s->counts.mv_mode[i];
3157
+
3158
+        adapt_prob(&pp[0], c[2], c[1] + c[0] + c[3], 20, 128);
3159
+        adapt_prob(&pp[1], c[0], c[1] + c[3], 20, 128);
3160
+        adapt_prob(&pp[2], c[1], c[3], 20, 128);
3161
+    }
3162
+
3163
+    // mv joints
3164
+    {
3165
+        uint8_t *pp = p->mv_joint;
3166
+        unsigned *c = s->counts.mv_joint;
3167
+
3168
+        adapt_prob(&pp[0], c[0], c[1] + c[2] + c[3], 20, 128);
3169
+        adapt_prob(&pp[1], c[1], c[2] + c[3], 20, 128);
3170
+        adapt_prob(&pp[2], c[2], c[3], 20, 128);
3171
+    }
3172
+
3173
+    // mv components
3174
+    for (i = 0; i < 2; i++) {
3175
+        uint8_t *pp;
3176
+        unsigned *c, (*c2)[2], sum;
3177
+
3178
+        adapt_prob(&p->mv_comp[i].sign, s->counts.mv_comp[i].sign[0],
3179
+                   s->counts.mv_comp[i].sign[1], 20, 128);
3180
+
3181
+        pp = p->mv_comp[i].classes;
3182
+        c = s->counts.mv_comp[i].classes;
3183
+        sum = c[1] + c[2] + c[3] + c[4] + c[5] + c[6] + c[7] + c[8] + c[9] + c[10];
3184
+        adapt_prob(&pp[0], c[0], sum, 20, 128);
3185
+        sum -= c[1];
3186
+        adapt_prob(&pp[1], c[1], sum, 20, 128);
3187
+        sum -= c[2] + c[3];
3188
+        adapt_prob(&pp[2], c[2] + c[3], sum, 20, 128);
3189
+        adapt_prob(&pp[3], c[2], c[3], 20, 128);
3190
+        sum -= c[4] + c[5];
3191
+        adapt_prob(&pp[4], c[4] + c[5], sum, 20, 128);
3192
+        adapt_prob(&pp[5], c[4], c[5], 20, 128);
3193
+        sum -= c[6];
3194
+        adapt_prob(&pp[6], c[6], sum, 20, 128);
3195
+        adapt_prob(&pp[7], c[7] + c[8], c[9] + c[10], 20, 128);
3196
+        adapt_prob(&pp[8], c[7], c[8], 20, 128);
3197
+        adapt_prob(&pp[9], c[9], c[10], 20, 128);
3198
+
3199
+        adapt_prob(&p->mv_comp[i].class0, s->counts.mv_comp[i].class0[0],
3200
+                   s->counts.mv_comp[i].class0[1], 20, 128);
3201
+        pp = p->mv_comp[i].bits;
3202
+        c2 = s->counts.mv_comp[i].bits;
3203
+        for (j = 0; j < 10; j++)
3204
+            adapt_prob(&pp[j], c2[j][0], c2[j][1], 20, 128);
3205
+
3206
+        for (j = 0; j < 2; j++) {
3207
+            pp = p->mv_comp[i].class0_fp[j];
3208
+            c = s->counts.mv_comp[i].class0_fp[j];
3209
+            adapt_prob(&pp[0], c[0], c[1] + c[2] + c[3], 20, 128);
3210
+            adapt_prob(&pp[1], c[1], c[2] + c[3], 20, 128);
3211
+            adapt_prob(&pp[2], c[2], c[3], 20, 128);
3212
+        }
3213
+        pp = p->mv_comp[i].fp;
3214
+        c = s->counts.mv_comp[i].fp;
3215
+        adapt_prob(&pp[0], c[0], c[1] + c[2] + c[3], 20, 128);
3216
+        adapt_prob(&pp[1], c[1], c[2] + c[3], 20, 128);
3217
+        adapt_prob(&pp[2], c[2], c[3], 20, 128);
3218
+
3219
+        if (s->highprecisionmvs) {
3220
+            adapt_prob(&p->mv_comp[i].class0_hp, s->counts.mv_comp[i].class0_hp[0],
3221
+                       s->counts.mv_comp[i].class0_hp[1], 20, 128);
3222
+            adapt_prob(&p->mv_comp[i].hp, s->counts.mv_comp[i].hp[0],
3223
+                       s->counts.mv_comp[i].hp[1], 20, 128);
3224
+        }
3225
+    }
3226
+
3227
+    // y intra modes
3228
+    for (i = 0; i < 4; i++) {
3229
+        uint8_t *pp = p->y_mode[i];
3230
+        unsigned *c = s->counts.y_mode[i], sum, s2;
3231
+
3232
+        sum = c[0] + c[1] + c[3] + c[4] + c[5] + c[6] + c[7] + c[8] + c[9];
3233
+        adapt_prob(&pp[0], c[DC_PRED], sum, 20, 128);
3234
+        sum -= c[TM_VP8_PRED];
3235
+        adapt_prob(&pp[1], c[TM_VP8_PRED], sum, 20, 128);
3236
+        sum -= c[VERT_PRED];
3237
+        adapt_prob(&pp[2], c[VERT_PRED], sum, 20, 128);
3238
+        s2 = c[HOR_PRED] + c[DIAG_DOWN_RIGHT_PRED] + c[VERT_RIGHT_PRED];
3239
+        sum -= s2;
3240
+        adapt_prob(&pp[3], s2, sum, 20, 128);
3241
+        s2 -= c[HOR_PRED];
3242
+        adapt_prob(&pp[4], c[HOR_PRED], s2, 20, 128);
3243
+        adapt_prob(&pp[5], c[DIAG_DOWN_RIGHT_PRED], c[VERT_RIGHT_PRED], 20, 128);
3244
+        sum -= c[DIAG_DOWN_LEFT_PRED];
3245
+        adapt_prob(&pp[6], c[DIAG_DOWN_LEFT_PRED], sum, 20, 128);
3246
+        sum -= c[VERT_LEFT_PRED];
3247
+        adapt_prob(&pp[7], c[VERT_LEFT_PRED], sum, 20, 128);
3248
+        adapt_prob(&pp[8], c[HOR_DOWN_PRED], c[HOR_UP_PRED], 20, 128);
3249
+    }
3250
+
3251
+    // uv intra modes
3252
+    for (i = 0; i < 10; i++) {
3253
+        uint8_t *pp = p->uv_mode[i];
3254
+        unsigned *c = s->counts.uv_mode[i], sum, s2;
3255
+
3256
+        sum = c[0] + c[1] + c[3] + c[4] + c[5] + c[6] + c[7] + c[8] + c[9];
3257
+        adapt_prob(&pp[0], c[DC_PRED], sum, 20, 128);
3258
+        sum -= c[TM_VP8_PRED];
3259
+        adapt_prob(&pp[1], c[TM_VP8_PRED], sum, 20, 128);
3260
+        sum -= c[VERT_PRED];
3261
+        adapt_prob(&pp[2], c[VERT_PRED], sum, 20, 128);
3262
+        s2 = c[HOR_PRED] + c[DIAG_DOWN_RIGHT_PRED] + c[VERT_RIGHT_PRED];
3263
+        sum -= s2;
3264
+        adapt_prob(&pp[3], s2, sum, 20, 128);
3265
+        s2 -= c[HOR_PRED];
3266
+        adapt_prob(&pp[4], c[HOR_PRED], s2, 20, 128);
3267
+        adapt_prob(&pp[5], c[DIAG_DOWN_RIGHT_PRED], c[VERT_RIGHT_PRED], 20, 128);
3268
+        sum -= c[DIAG_DOWN_LEFT_PRED];
3269
+        adapt_prob(&pp[6], c[DIAG_DOWN_LEFT_PRED], sum, 20, 128);
3270
+        sum -= c[VERT_LEFT_PRED];
3271
+        adapt_prob(&pp[7], c[VERT_LEFT_PRED], sum, 20, 128);
3272
+        adapt_prob(&pp[8], c[HOR_DOWN_PRED], c[HOR_UP_PRED], 20, 128);
3273
+    }
3274
+}
3275
+
3276
+static int vp9_decode_frame(AVCodecContext *ctx, void *out_pic,
3277
+                            int *got_frame, const uint8_t *data, int size)
3278
+{
3279
+    VP9Context *s = ctx->priv_data;
3280
+    int res, tile_row, tile_col, i, ref, row, col;
3281
+    ptrdiff_t yoff = 0, uvoff = 0;
3282
+    //AVFrame *prev_frame = s->f; // for segmentation map
3283
+
3284
+    if ((res = decode_frame_header(ctx, data, size, &ref)) < 0) {
3285
+        return res;
3286
+    } else if (res == 0) {
3287
+        if (!s->refs[ref]) {
3288
+            av_log(ctx, AV_LOG_ERROR, "Requested reference %d not available\n", ref);
3289
+            return AVERROR_INVALIDDATA;
3290
+        }
3291
+        if ((res = av_frame_ref(out_pic, s->refs[ref])) < 0)
3292
+            return res;
3293
+        *got_frame = 1;
3294
+        return 0;
3295
+    }
3296
+    data += res;
3297
+    size -= res;
3298
+
3299
+    // discard old references
3300
+    for (i = 0; i < 10; i++) {
3301
+        AVFrame *f = s->fb[i];
3302
+        if (f->data[0] && f != s->f &&
3303
+            f != s->refs[0] && f != s->refs[1] &&
3304
+            f != s->refs[2] && f != s->refs[3] &&
3305
+            f != s->refs[4] && f != s->refs[5] &&
3306
+            f != s->refs[6] && f != s->refs[7])
3307
+            av_frame_unref(f);
3308
+    }
3309
+
3310
+    // find unused reference
3311
+    for (i = 0; i < 10; i++)
3312
+        if (!s->fb[i]->data[0])
3313
+            break;
3314
+    s->f = s->fb[i];
3315
+    if ((res = ff_get_buffer(ctx, s->f,
3316
+                             s->refreshrefmask ? AV_GET_BUFFER_FLAG_REF : 0)) < 0)
3317
+        return res;
3318
+    s->f->key_frame = s->keyframe;
3319
+    s->f->pict_type = s->keyframe ? AV_PICTURE_TYPE_I : AV_PICTURE_TYPE_P;
3320
+
3321
+    // main tile decode loop
3322
+    memset(s->above_partition_ctx, 0, s->cols);
3323
+    memset(s->above_skip_ctx, 0, s->cols);
3324
+    if (s->keyframe || s->intraonly) {
3325
+        memset(s->above_mode_ctx, DC_PRED, s->cols * 2);
3326
+    } else {
3327
+        memset(s->above_mode_ctx, NEARESTMV, s->cols);
3328
+    }
3329
+    memset(s->above_y_nnz_ctx, 0, s->sb_cols * 16);
3330
+    memset(s->above_uv_nnz_ctx[0], 0, s->sb_cols * 8);
3331
+    memset(s->above_uv_nnz_ctx[1], 0, s->sb_cols * 8);
3332
+    memset(s->above_segpred_ctx, 0, s->cols);
3333
+    for (tile_row = 0; tile_row < s->tiling.tile_rows; tile_row++) {
3334
+        set_tile_offset(&s->tiling.tile_row_start, &s->tiling.tile_row_end,
3335
+                        tile_row, s->tiling.log2_tile_rows, s->sb_rows);
3336
+        for (tile_col = 0; tile_col < s->tiling.tile_cols; tile_col++) {
3337
+            unsigned tile_size;
3338
+
3339
+            if (tile_col == s->tiling.tile_cols - 1 &&
3340
+                tile_row == s->tiling.tile_rows - 1) {
3341
+                tile_size = size;
3342
+            } else {
3343
+                tile_size = AV_RB32(data);
3344
+                data += 4;
3345
+                size -= 4;
3346
+            }
3347
+            if (tile_size > size)
3348
+                return AVERROR_INVALIDDATA;
3349
+            ff_vp56_init_range_decoder(&s->c_b[tile_col], data, tile_size);
3350
+            if (vp56_rac_get_prob_branchy(&s->c_b[tile_col], 128)) // marker bit
3351
+                return AVERROR_INVALIDDATA;
3352
+            data += tile_size;
3353
+            size -= tile_size;
3354
+        }
3355
+
3356
+        for (row = s->tiling.tile_row_start;
3357
+             row < s->tiling.tile_row_end;
3358
+             row += 8, yoff += s->f->linesize[0] * 64,
3359
+             uvoff += s->f->linesize[1] * 32) {
3360
+            struct VP9Filter *lflvl_ptr = s->lflvl;
3361
+            ptrdiff_t yoff2 = yoff, uvoff2 = uvoff;
3362
+
3363
+            for (tile_col = 0; tile_col < s->tiling.tile_cols; tile_col++) {
3364
+                set_tile_offset(&s->tiling.tile_col_start, &s->tiling.tile_col_end,
3365
+                                tile_col, s->tiling.log2_tile_cols, s->sb_cols);
3366
+
3367
+                memset(s->left_partition_ctx, 0, 8);
3368
+                memset(s->left_skip_ctx, 0, 8);
3369
+                if (s->keyframe || s->intraonly) {
3370
+                    memset(s->left_mode_ctx, DC_PRED, 16);
3371
+                } else {
3372
+                    memset(s->left_mode_ctx, NEARESTMV, 8);
3373
+                }
3374
+                memset(s->left_y_nnz_ctx, 0, 16);
3375
+                memset(s->left_uv_nnz_ctx, 0, 16);
3376
+                memset(s->left_segpred_ctx, 0, 8);
3377
+
3378
+                memcpy(&s->c, &s->c_b[tile_col], sizeof(s->c));
3379
+                for (col = s->tiling.tile_col_start;
3380
+                     col < s->tiling.tile_col_end;
3381
+                     col += 8, yoff2 += 64, uvoff2 += 32, lflvl_ptr++) {
3382
+                    // FIXME integrate with lf code (i.e. zero after each
3383
+                    // use, similar to invtxfm coefficients, or similar)
3384
+                    memset(lflvl_ptr->mask, 0, sizeof(lflvl_ptr->mask));
3385
+
3386
+                    if ((res = decode_sb(ctx, row, col, lflvl_ptr,
3387
+                                         yoff2, uvoff2, BL_64X64)) < 0)
3388
+                        return res;
3389
+                }
3390
+                memcpy(&s->c_b[tile_col], &s->c, sizeof(s->c));
3391
+            }
3392
+
3393
+            // backup pre-loopfilter reconstruction data for intra
3394
+            // prediction of next row of sb64s
3395
+            if (row + 8 < s->rows) {
3396
+                memcpy(s->intra_pred_data[0],
3397
+                       s->f->data[0] + yoff + 63 * s->f->linesize[0],
3398
+                       8 * s->cols);
3399
+                memcpy(s->intra_pred_data[1],
3400
+                       s->f->data[1] + uvoff + 31 * s->f->linesize[1],
3401
+                       4 * s->cols);
3402
+                memcpy(s->intra_pred_data[2],
3403
+                       s->f->data[2] + uvoff + 31 * s->f->linesize[2],
3404
+                       4 * s->cols);
3405
+            }
3406
+
3407
+            // loopfilter one row
3408
+            if (s->filter.level) {
3409
+                yoff2 = yoff;
3410
+                uvoff2 = uvoff;
3411
+                lflvl_ptr = s->lflvl;
3412
+                for (col = 0; col < s->cols;
3413
+                     col += 8, yoff2 += 64, uvoff2 += 32, lflvl_ptr++) {
3414
+                    loopfilter_sb(ctx, lflvl_ptr, row, col, yoff2, uvoff2);
3415
+                }
3416
+            }
3417
+        }
3418
+    }
3419
+
3420
+    // bw adaptivity (or in case of parallel decoding mode, fw adaptivity
3421
+    // probability maintenance between frames)
3422
+    if (s->refreshctx) {
3423
+        if (s->parallelmode) {
3424
+            int i, j, k, l, m;
3425
+
3426
+            for (i = 0; i < 4; i++)
3427
+                for (j = 0; j < 2; j++)
3428
+                    for (k = 0; k < 2; k++)
3429
+                        for (l = 0; l < 6; l++)
3430
+                            for (m = 0; m < 6; m++)
3431
+                                memcpy(s->prob_ctx[s->framectxid].coef[i][j][k][l][m],
3432
+                                       s->prob.coef[i][j][k][l][m], 3);
3433
+            s->prob_ctx[s->framectxid].p = s->prob.p;
3434
+        } else {
3435
+            adapt_probs(s);
3436
+        }
3437
+    }
3438
+    FFSWAP(struct VP9mvrefPair *, s->mv[0], s->mv[1]);
3439
+
3440
+    // ref frame setup
3441
+    for (i = 0; i < 8; i++)
3442
+        if (s->refreshrefmask & (1 << i))
3443
+            s->refs[i] = s->f;
3444
+
3445
+    if (!s->invisible) {
3446
+        if ((res = av_frame_ref(out_pic, s->f)) < 0)
3447
+            return res;
3448
+        *got_frame = 1;
3449
+    }
3450
+
3451
+    return 0;
3452
+}
3453
+
3454
+static int vp9_decode_packet(AVCodecContext *avctx, void *out_pic,
3455
+                             int *got_frame, AVPacket *avpkt)
3456
+{
3457
+    const uint8_t *data = avpkt->data;
3458
+    int size = avpkt->size, marker, res;
3459
+
3460
+    // read superframe index - this is a collection of individual frames that
3461
+    // together lead to one visible frame
3462
+    av_assert1(size > 0); // without CODEC_CAP_DELAY, this is implied
3463
+    marker = data[size - 1];
3464
+    if ((marker & 0xe0) == 0xc0) {
3465
+        int nbytes = 1 + ((marker >> 3) & 0x3);
3466
+        int n_frames = 1 + (marker & 0x7), idx_sz = 2 + n_frames * nbytes;
3467
+
3468
+        if (size >= idx_sz && data[size - idx_sz] == marker) {
3469
+            const uint8_t *idx = data + size + 1 - idx_sz;
3470
+            switch (nbytes) {
3471
+#define case_n(a, rd) \
3472
+                case a: \
3473
+                    while (n_frames--) { \
3474
+                        int sz = rd; \
3475
+                        idx += a; \
3476
+                        if (sz > size) { \
3477
+                            av_log(avctx, AV_LOG_ERROR, \
3478
+                                   "Superframe packet size too big: %d > %d\n", \
3479
+                                   sz, size); \
3480
+                            return AVERROR_INVALIDDATA; \
3481
+                        } \
3482
+                        res = vp9_decode_frame(avctx, out_pic, got_frame, \
3483
+                                               data, sz); \
3484
+                        if (res < 0) \
3485
+                            return res; \
3486
+                        data += sz; \
3487
+                        size -= sz; \
3488
+                    } \
3489
+                    break;
3490
+                case_n(1, *idx);
3491
+                case_n(2, AV_RL16(idx));
3492
+                case_n(3, AV_RL24(idx));
3493
+                case_n(4, AV_RL32(idx));
3494
+            }
3495
+            return size;
3496
+        }
3497
+    }
3498
+    // if we get here, there was no valid superframe index, i.e. this is just
3499
+    // one whole single frame - decode it as such from the complete input buf
3500
+    if ((res = vp9_decode_frame(avctx, out_pic, got_frame, data, size)) < 0)
3501
+        return res;
3502
+    return size;
3503
+}
3504
+
3505
+static void vp9_decode_flush(AVCodecContext *ctx)
3506
+{
3507
+    VP9Context *s = ctx->priv_data;
3508
+    int i;
3509
+
3510
+    for (i = 0; i < 10; i++)
3511
+        if (s->fb[i]->data[0])
3512
+            av_frame_unref(s->fb[i]);
3513
+    for (i = 0; i < 8; i++)
3514
+        s->refs[i] = NULL;
3515
+    s->f = NULL;
3516
+}
3517
+
3518
+static av_cold int vp9_decode_init(AVCodecContext *ctx)
3519
+{
3520
+    VP9Context *s = ctx->priv_data;
3521
+    int i;
3522
+
3523
+    ctx->pix_fmt = AV_PIX_FMT_YUV420P;
3524
+    ff_vp9dsp_init(&s->dsp);
3525
+    ff_videodsp_init(&s->vdsp, 8);
3526
+    for (i = 0; i < 10; i++) {
3527
+        s->fb[i] = av_frame_alloc();
3528
+        if (!s->fb[i]) {
3529
+            av_log(ctx, AV_LOG_ERROR, "Failed to allocate frame buffer %d\n", i);
3530
+            return AVERROR(ENOMEM);
3531
+        }
3532
+    }
3533
+    s->filter.sharpness = -1;
3534
+
3535
+    return 0;
3536
+}
3537
+
3538
+static av_cold int vp9_decode_free(AVCodecContext *ctx)
3539
+{
3540
+    VP9Context *s = ctx->priv_data;
3541
+    int i;
3542
+
3543
+    for (i = 0; i < 10; i++) {
3544
+        if (s->fb[i]->data[0])
3545
+            av_frame_unref(s->fb[i]);
3546
+        av_frame_free(&s->fb[i]);
3547
+    }
3548
+    av_freep(&s->above_partition_ctx);
3549
+    s->above_skip_ctx = s->above_txfm_ctx = s->above_mode_ctx = NULL;
3550
+    s->above_y_nnz_ctx = s->above_uv_nnz_ctx[0] = s->above_uv_nnz_ctx[1] = NULL;
3551
+    s->intra_pred_data[0] = s->intra_pred_data[1] = s->intra_pred_data[2] = NULL;
3552
+    s->above_segpred_ctx = s->above_intra_ctx = s->above_comp_ctx = NULL;
3553
+    s->above_ref_ctx = s->above_filter_ctx = NULL;
3554
+    s->above_mv_ctx = NULL;
3555
+    s->segmentation_map = NULL;
3556
+    s->mv[0] = s->mv[1] = NULL;
3557
+    s->lflvl = NULL;
3558
+    av_freep(&s->c_b);
3559
+    s->c_b_size = 0;
3560
+
3561
+    return 0;
3562
+}
3563
+
3564
+AVCodec ff_vp9_decoder = {
3565
+  .name                  = "vp9",
3566
+  .type                  = AVMEDIA_TYPE_VIDEO,
3567
+  .id                    = AV_CODEC_ID_VP9,
3568
+  .priv_data_size        = sizeof(VP9Context),
3569
+  .init                  = vp9_decode_init,
3570
+  .close                 = vp9_decode_free,
3571
+  .decode                = vp9_decode_packet,
3572
+  .capabilities          = CODEC_CAP_DR1,
3573
+  .flush                 = vp9_decode_flush,
3574
+  .long_name             = NULL_IF_CONFIG_SMALL("Google VP9"),
3575
+};
0 3576
new file mode 100644
... ...
@@ -0,0 +1,72 @@
0
+/*
1
+ * VP9 compatible video decoder
2
+ *
3
+ * Copyright (C) 2013 Ronald S. Bultje <rsbultje gmail com>
4
+ * Copyright (C) 2013 Clément Bœsch <u pkh me>
5
+ *
6
+ * This file is part of FFmpeg.
7
+ *
8
+ * FFmpeg is free software; you can redistribute it and/or
9
+ * modify it under the terms of the GNU Lesser General Public
10
+ * License as published by the Free Software Foundation; either
11
+ * version 2.1 of the License, or (at your option) any later version.
12
+ *
13
+ * FFmpeg is distributed in the hope that it will be useful,
14
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
15
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
16
+ * Lesser General Public License for more details.
17
+ *
18
+ * You should have received a copy of the GNU Lesser General Public
19
+ * License along with FFmpeg; if not, write to the Free Software
20
+ * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
21
+ */
22
+
23
+#ifndef AVCODEC_VP9_H
24
+#define AVCODEC_VP9_H
25
+
26
+enum TxfmMode {
27
+    TX_4X4,
28
+    TX_8X8,
29
+    TX_16X16,
30
+    TX_32X32,
31
+    N_TXFM_SIZES,
32
+    TX_SWITCHABLE = N_TXFM_SIZES,
33
+    N_TXFM_MODES
34
+};
35
+
36
+enum TxfmType {
37
+    DCT_DCT,
38
+    DCT_ADST,
39
+    ADST_DCT,
40
+    ADST_ADST,
41
+    N_TXFM_TYPES
42
+};
43
+
44
+enum IntraPredMode {
45
+    VERT_PRED,
46
+    HOR_PRED,
47
+    DC_PRED,
48
+    DIAG_DOWN_LEFT_PRED,
49
+    DIAG_DOWN_RIGHT_PRED,
50
+    VERT_RIGHT_PRED,
51
+    HOR_DOWN_PRED,
52
+    VERT_LEFT_PRED,
53
+    HOR_UP_PRED,
54
+    TM_VP8_PRED,
55
+    LEFT_DC_PRED,
56
+    TOP_DC_PRED,
57
+    DC_128_PRED,
58
+    DC_127_PRED,
59
+    DC_129_PRED,
60
+    N_INTRA_PRED_MODES
61
+};
62
+
63
+enum FilterMode {
64
+    FILTER_8TAP_SMOOTH,
65
+    FILTER_8TAP_REGULAR,
66
+    FILTER_8TAP_SHARP,
67
+    FILTER_BILINEAR,
68
+    FILTER_SWITCHABLE,
69
+};
70
+
71
+#endif /* AVCODEC_VP9_H */
0 72
new file mode 100644
... ...
@@ -0,0 +1,2153 @@
0
+/*
1
+ * Copyright (C) 2013 Ronald S. Bultje <rsbultje gmail com>
2
+ * Copyright (C) 2013 Clément Bœsch <u pkh me>
3
+ *
4
+ * This file is part of FFmpeg.
5
+ *
6
+ * FFmpeg is free software; you can redistribute it and/or
7
+ * modify it under the terms of the GNU Lesser General Public
8
+ * License as published by the Free Software Foundation; either
9
+ * version 2.1 of the License, or (at your option) any later version.
10
+ *
11
+ * FFmpeg is distributed in the hope that it will be useful,
12
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
13
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
+ * Lesser General Public License for more details.
15
+ *
16
+ * You should have received a copy of the GNU Lesser General Public
17
+ * License along with FFmpeg; if not, write to the Free Software
18
+ * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
19
+ */
20
+
21
+#ifndef AVCODEC_VP9DATA_H
22
+#define AVCODEC_VP9DATA_H
23
+
24
+#include "vp9.h"
25
+
26
+enum BlockPartition {
27
+    PARTITION_NONE,    // [ ] <-.
28
+    PARTITION_H,       // [-]   |
29
+    PARTITION_V,       // [|]   |
30
+    PARTITION_SPLIT,   // [+] --'
31
+};
32
+
33
+static const int8_t vp9_partition_tree[3][2] = {
34
+    { -PARTITION_NONE, 1 },               // '0'
35
+     { -PARTITION_H, 2 },                 // '10'
36
+      { -PARTITION_V, -PARTITION_SPLIT }, // '110', '111'
37
+};
38
+
39
+static const uint8_t vp9_default_kf_partition_probs[4][4][3] = {
40
+    { /* 64x64 -> 32x32 */
41
+        { 174,  35,  49 } /* a/l both not split */,
42
+        {  68,  11,  27 } /* a split, l not split */,
43
+        {  57,  15,   9 } /* l split, a not split */,
44
+        {  12,   3,   3 } /* a/l both split */
45
+    }, { /* 32x32 -> 16x16 */
46
+        { 150,  40,  39 } /* a/l both not split */,
47
+        {  78,  12,  26 } /* a split, l not split */,
48
+        {  67,  33,  11 } /* l split, a not split */,
49
+        {  24,   7,   5 } /* a/l both split */,
50
+    }, { /* 16x16 -> 8x8 */
51
+        { 149,  53,  53 } /* a/l both not split */,
52
+        {  94,  20,  48 } /* a split, l not split */,
53
+        {  83,  53,  24 } /* l split, a not split */,
54
+        {  52,  18,  18 } /* a/l both split */,
55
+    }, { /* 8x8 -> 4x4 */
56
+        { 158,  97,  94 } /* a/l both not split */,
57
+        {  93,  24,  99 } /* a split, l not split */,
58
+        {  85, 119,  44 } /* l split, a not split */,
59
+        {  62,  59,  67 } /* a/l both split */,
60
+    },
61
+};
62
+
63
+static const int8_t vp9_segmentation_tree[7][2] = {
64
+    { 1, 2 },
65
+     { 3, 4 },
66
+     { 5, 6 },
67
+      { -0, -1 }, // '00x'
68
+      { -2, -3 }, // '01x'
69
+      { -4, -5 }, // '10x'
70
+      { -6, -7 }, // '11x'
71
+};
72
+
73
+static const int8_t vp9_intramode_tree[9][2] = {
74
+    { -DC_PRED, 1 },                                  // '0'
75
+     { -TM_VP8_PRED, 2 },                             // '10'
76
+      { -VERT_PRED, 3 },                              // '110'
77
+       { 4, 6 },
78
+        { -HOR_PRED, 5 },                             // '11100'
79
+         { -DIAG_DOWN_RIGHT_PRED, -VERT_RIGHT_PRED }, // '11101x'
80
+        { -DIAG_DOWN_LEFT_PRED, 7 },                  // '11110'
81
+         { -VERT_LEFT_PRED, 8 },                      // '111110'
82
+          { -HOR_DOWN_PRED, -HOR_UP_PRED },           // '111111x'
83
+};
84
+
85
+static const uint8_t vp9_default_kf_ymode_probs[10][10][9] = {
86
+    { /* above = v */
87
+        {  43,  46, 168, 134, 107, 128,  69, 142,  92 } /* left = v */,
88
+        {  44,  29,  68, 159, 201, 177,  50,  57,  77 } /* left = h */,
89
+        {  63,  36, 126, 146, 123, 158,  60,  90,  96 } /* left = dc */,
90
+        {  58,  38,  76, 114,  97, 172,  78, 133,  92 } /* left = d45 */,
91
+        {  46,  41,  76, 140,  63, 184,  69, 112,  57 } /* left = d135 */,
92
+        {  38,  32,  85, 140,  46, 112,  54, 151, 133 } /* left = d117 */,
93
+        {  39,  27,  61, 131, 110, 175,  44,  75, 136 } /* left = d153 */,
94
+        {  47,  35,  80, 100,  74, 143,  64, 163,  74 } /* left = d63 */,
95
+        {  52,  30,  74, 113, 130, 175,  51,  64,  58 } /* left = d27 */,
96
+        {  36,  61, 116, 114, 128, 162,  80, 125,  82 } /* left = tm */
97
+    }, { /* above = h */
98
+        {  55,  44,  68, 166, 179, 192,  57,  57, 108 } /* left = v */,
99
+        {  42,  26,  11, 199, 241, 228,  23,  15,  85 } /* left = h */,
100
+        {  82,  26,  26, 171, 208, 204,  44,  32, 105 } /* left = dc */,
101
+        {  68,  42,  19, 131, 160, 199,  55,  52,  83 } /* left = d45 */,
102
+        {  58,  50,  25, 139, 115, 232,  39,  52, 118 } /* left = d135 */,
103
+        {  50,  35,  33, 153, 104, 162,  64,  59, 131 } /* left = d117 */,
104
+        {  44,  24,  16, 150, 177, 202,  33,  19, 156 } /* left = d153 */,
105
+        {  53,  49,  21, 110, 116, 168,  59,  80,  76 } /* left = d63 */,
106
+        {  55,  27,  12, 153, 203, 218,  26,  27,  49 } /* left = d27 */,
107
+        {  38,  72,  19, 168, 203, 212,  50,  50, 107 } /* left = tm */
108
+    }, { /* above = dc */
109
+        {  92,  45, 102, 136, 116, 180,  74,  90, 100 } /* left = v */,
110
+        {  73,  32,  19, 187, 222, 215,  46,  34, 100 } /* left = h */,
111
+        { 137,  30,  42, 148, 151, 207,  70,  52,  91 } /* left = dc */,
112
+        {  91,  30,  32, 116, 121, 186,  93,  86,  94 } /* left = d45 */,
113
+        {  72,  35,  36, 149,  68, 206,  68,  63, 105 } /* left = d135 */,
114
+        {  73,  31,  28, 138,  57, 124,  55, 122, 151 } /* left = d117 */,
115
+        {  67,  23,  21, 140, 126, 197,  40,  37, 171 } /* left = d153 */,
116
+        {  74,  32,  27, 107,  86, 160,  63, 134, 102 } /* left = d63 */,
117
+        {  86,  27,  28, 128, 154, 212,  45,  43,  53 } /* left = d27 */,
118
+        {  59,  67,  44, 140, 161, 202,  78,  67, 119 } /* left = tm */
119
+    }, { /* above = d45 */
120
+        {  59,  38,  83, 112, 103, 162,  98, 136,  90 } /* left = v */,
121
+        {  62,  30,  23, 158, 200, 207,  59,  57,  50 } /* left = h */,
122
+        { 103,  26,  36, 129, 132, 201,  83,  80,  93 } /* left = dc */,
123
+        {  67,  30,  29,  84,  86, 191, 102,  91,  59 } /* left = d45 */,
124
+        {  60,  32,  33, 112,  71, 220,  64,  89, 104 } /* left = d135 */,
125
+        {  53,  26,  34, 130,  56, 149,  84, 120, 103 } /* left = d117 */,
126
+        {  53,  21,  23, 133, 109, 210,  56,  77, 172 } /* left = d153 */,
127
+        {  61,  29,  29,  93,  97, 165,  83, 175, 162 } /* left = d63 */,
128
+        {  77,  19,  29, 112, 142, 228,  55,  66,  36 } /* left = d27 */,
129
+        {  47,  47,  43, 114, 137, 181, 100,  99,  95 } /* left = tm */
130
+    }, { /* above = d135 */
131
+        {  53,  40,  55, 139,  69, 183,  61,  80, 110 } /* left = v */,
132
+        {  40,  29,  19, 161, 180, 207,  43,  24,  91 } /* left = h */,
133
+        {  69,  23,  29, 128,  83, 199,  46,  44, 101 } /* left = dc */,
134
+        {  60,  34,  19, 105,  61, 198,  53,  64,  89 } /* left = d45 */,
135
+        {  52,  31,  22, 158,  40, 209,  58,  62,  89 } /* left = d135 */,
136
+        {  44,  31,  29, 147,  46, 158,  56, 102, 198 } /* left = d117 */,
137
+        {  35,  19,  12, 135,  87, 209,  41,  45, 167 } /* left = d153 */,
138
+        {  51,  38,  25, 113,  58, 164,  70,  93,  97 } /* left = d63 */,
139
+        {  55,  25,  21, 118,  95, 215,  38,  39,  66 } /* left = d27 */,
140
+        {  47,  54,  34, 146, 108, 203,  72, 103, 151 } /* left = tm */
141
+    }, { /* above = d117 */
142
+        {  46,  27,  80, 150,  55, 124,  55, 121, 135 } /* left = v */,
143
+        {  36,  23,  27, 165, 149, 166,  54,  64, 118 } /* left = h */,
144
+        {  64,  19,  37, 156,  66, 138,  49,  95, 133 } /* left = dc */,
145
+        {  53,  21,  36, 131,  63, 163,  60, 109,  81 } /* left = d45 */,
146
+        {  40,  26,  35, 154,  40, 185,  51,  97, 123 } /* left = d135 */,
147
+        {  35,  19,  34, 179,  19,  97,  48, 129, 124 } /* left = d117 */,
148
+        {  36,  20,  26, 136,  62, 164,  33,  77, 154 } /* left = d153 */,
149
+        {  45,  26,  28, 129,  45, 129,  49, 147, 123 } /* left = d63 */,
150
+        {  45,  18,  32, 130,  90, 157,  40,  79,  91 } /* left = d27 */,
151
+        {  38,  44,  51, 136,  74, 162,  57,  97, 121 } /* left = tm */
152
+    }, { /* above = d153 */
153
+        {  56,  39,  58, 133, 117, 173,  48,  53, 187 } /* left = v */,
154
+        {  35,  21,  12, 161, 212, 207,  20,  23, 145 } /* left = h */,
155
+        {  75,  17,  22, 136, 138, 185,  32,  34, 166 } /* left = dc */,
156
+        {  56,  29,  19, 117, 109, 181,  55,  68, 112 } /* left = d45 */,
157
+        {  47,  29,  17, 153,  64, 220,  59,  51, 114 } /* left = d135 */,
158
+        {  46,  16,  24, 136,  76, 147,  41,  64, 172 } /* left = d117 */,
159
+        {  34,  17,  11, 108, 152, 187,  13,  15, 209 } /* left = d153 */,
160
+        {  55,  30,  18, 122,  79, 179,  44,  88, 116 } /* left = d63 */,
161
+        {  51,  24,  14, 115, 133, 209,  32,  26, 104 } /* left = d27 */,
162
+        {  37,  49,  25, 129, 168, 164,  41,  54, 148 } /* left = tm */
163
+    }, { /* above = d63 */
164
+        {  48,  34,  86, 101,  92, 146,  78, 179, 134 } /* left = v */,
165
+        {  47,  22,  24, 138, 187, 178,  68,  69,  59 } /* left = h */,
166
+        {  78,  23,  39, 111, 117, 170,  74, 124,  94 } /* left = dc */,
167
+        {  56,  25,  33, 105, 112, 187,  95, 177, 129 } /* left = d45 */,
168
+        {  48,  31,  27, 114,  63, 183,  82, 116,  56 } /* left = d135 */,
169
+        {  43,  28,  37, 121,  63, 123,  61, 192, 169 } /* left = d117 */,
170
+        {  42,  17,  24, 109,  97, 177,  56,  76, 122 } /* left = d153 */,
171
+        {  46,  23,  32,  74,  86, 150,  67, 183,  88 } /* left = d63 */,
172
+        {  58,  18,  28, 105, 139, 182,  70,  92,  63 } /* left = d27 */,
173
+        {  36,  38,  48,  92, 122, 165,  88, 137,  91 } /* left = tm */
174
+    }, { /* above = d27 */
175
+        {  62,  44,  61, 123, 105, 189,  48,  57,  64 } /* left = v */,
176
+        {  47,  25,  17, 175, 222, 220,  24,  30,  86 } /* left = h */,
177
+        {  82,  22,  32, 127, 143, 213,  39,  41,  70 } /* left = dc */,
178
+        {  68,  36,  17, 106, 102, 206,  59,  74,  74 } /* left = d45 */,
179
+        {  57,  39,  23, 151,  68, 216,  55,  63,  58 } /* left = d135 */,
180
+        {  49,  30,  35, 141,  70, 168,  82,  40, 115 } /* left = d117 */,
181
+        {  51,  25,  15, 136, 129, 202,  38,  35, 139 } /* left = d153 */,
182
+        {  59,  39,  19, 114,  75, 180,  77, 104,  42 } /* left = d63 */,
183
+        {  68,  26,  16, 111, 141, 215,  29,  28,  28 } /* left = d27 */,
184
+        {  40,  61,  26, 126, 152, 206,  61,  59,  93 } /* left = tm */
185
+    }, { /* above = tm */
186
+        {  44,  78, 115, 132, 119, 173,  71, 112,  93 } /* left = v */,
187
+        {  39,  38,  21, 184, 227, 206,  42,  32,  64 } /* left = h */,
188
+        {  65,  70,  60, 155, 159, 199,  61,  60,  81 } /* left = dc */,
189
+        {  58,  47,  36, 124, 137, 193,  80,  82,  78 } /* left = d45 */,
190
+        {  49,  50,  35, 144,  95, 205,  63,  78,  59 } /* left = d135 */,
191
+        {  41,  53,  52, 148,  71, 142,  65, 128,  51 } /* left = d117 */,
192
+        {  40,  36,  28, 143, 143, 202,  40,  55, 137 } /* left = d153 */,
193
+        {  42,  44,  44, 104, 105, 164,  64, 130,  80 } /* left = d63 */,
194
+        {  52,  34,  29, 129, 183, 227,  42,  35,  43 } /* left = d27 */,
195
+        {  43,  81,  53, 140, 169, 204,  68,  84,  72 } /* left = tm */
196
+    }
197
+};
198
+
199
+static const uint8_t vp9_default_kf_uvmode_probs[10][9] = {
200
+    { 118,  15, 123, 148, 131, 101,  44,  93, 131 } /* y = v */,
201
+    { 113,  12,  23, 188, 226, 142,  26,  32, 125 } /* y = h */,
202
+    { 144,  11,  54, 157, 195, 130,  46,  58, 108 } /* y = dc */,
203
+    { 120,  11,  50, 123, 163, 135,  64,  77, 103 } /* y = d45 */,
204
+    { 113,   9,  36, 155, 111, 157,  32,  44, 161 } /* y = d135 */,
205
+    { 116,   9,  55, 176,  76,  96,  37,  61, 149 } /* y = d117 */,
206
+    { 115,   9,  28, 141, 161, 167,  21,  25, 193 } /* y = d153 */,
207
+    { 116,  12,  64, 120, 140, 125,  49, 115, 121 } /* y = d63 */,
208
+    { 120,  12,  32, 145, 195, 142,  32,  38,  86 } /* y = d27 */,
209
+    { 102,  19,  66, 162, 182, 122,  35,  59, 128 } /* y = tm */
210
+};
211
+
212
+enum InterPredMode {
213
+    NEARESTMV = 10,
214
+    NEARMV = 11,
215
+    ZEROMV = 12,
216
+    NEWMV = 13,
217
+};
218
+
219
+static const int8_t vp9_inter_mode_tree[3][2] = {
220
+    { -ZEROMV, 1 },        // '0'
221
+     { -NEARESTMV, 2 },    // '10'
222
+      { -NEARMV, -NEWMV }, // '11x'
223
+};
224
+
225
+static const int8_t vp9_filter_tree[2][2] = {
226
+    { -0, 1 },   // '0'
227
+     { -1, -2 }, // '1x'
228
+};
229
+
230
+static const enum FilterMode vp9_filter_lut[3] = {
231
+    FILTER_8TAP_REGULAR,
232
+    FILTER_8TAP_SMOOTH,
233
+    FILTER_8TAP_SHARP,
234
+};
235
+
236
+static const int16_t vp9_dc_qlookup[256] = {
237
+       4,    8,    8,    9,   10,   11,   12,   12,
238
+      13,   14,   15,   16,   17,   18,   19,   19,
239
+      20,   21,   22,   23,   24,   25,   26,   26,
240
+      27,   28,   29,   30,   31,   32,   32,   33,
241
+      34,   35,   36,   37,   38,   38,   39,   40,
242
+      41,   42,   43,   43,   44,   45,   46,   47,
243
+      48,   48,   49,   50,   51,   52,   53,   53,
244
+      54,   55,   56,   57,   57,   58,   59,   60,
245
+      61,   62,   62,   63,   64,   65,   66,   66,
246
+      67,   68,   69,   70,   70,   71,   72,   73,
247
+      74,   74,   75,   76,   77,   78,   78,   79,
248
+      80,   81,   81,   82,   83,   84,   85,   85,
249
+      87,   88,   90,   92,   93,   95,   96,   98,
250
+      99,  101,  102,  104,  105,  107,  108,  110,
251
+     111,  113,  114,  116,  117,  118,  120,  121,
252
+     123,  125,  127,  129,  131,  134,  136,  138,
253
+     140,  142,  144,  146,  148,  150,  152,  154,
254
+     156,  158,  161,  164,  166,  169,  172,  174,
255
+     177,  180,  182,  185,  187,  190,  192,  195,
256
+     199,  202,  205,  208,  211,  214,  217,  220,
257
+     223,  226,  230,  233,  237,  240,  243,  247,
258
+     250,  253,  257,  261,  265,  269,  272,  276,
259
+     280,  284,  288,  292,  296,  300,  304,  309,
260
+     313,  317,  322,  326,  330,  335,  340,  344,
261
+     349,  354,  359,  364,  369,  374,  379,  384,
262
+     389,  395,  400,  406,  411,  417,  423,  429,
263
+     435,  441,  447,  454,  461,  467,  475,  482,
264
+     489,  497,  505,  513,  522,  530,  539,  549,
265
+     559,  569,  579,  590,  602,  614,  626,  640,
266
+     654,  668,  684,  700,  717,  736,  755,  775,
267
+     796,  819,  843,  869,  896,  925,  955,  988,
268
+    1022, 1058, 1098, 1139, 1184, 1232, 1282, 1336,
269
+};
270
+
271
+static const int16_t vp9_ac_qlookup[256] = {
272
+       4,    8,    9,   10,   11,   12,   13,   14,
273
+      15,   16,   17,   18,   19,   20,   21,   22,
274
+      23,   24,   25,   26,   27,   28,   29,   30,
275
+      31,   32,   33,   34,   35,   36,   37,   38,
276
+      39,   40,   41,   42,   43,   44,   45,   46,
277
+      47,   48,   49,   50,   51,   52,   53,   54,
278
+      55,   56,   57,   58,   59,   60,   61,   62,
279
+      63,   64,   65,   66,   67,   68,   69,   70,
280
+      71,   72,   73,   74,   75,   76,   77,   78,
281
+      79,   80,   81,   82,   83,   84,   85,   86,
282
+      87,   88,   89,   90,   91,   92,   93,   94,
283
+      95,   96,   97,   98,   99,  100,  101,  102,
284
+     104,  106,  108,  110,  112,  114,  116,  118,
285
+     120,  122,  124,  126,  128,  130,  132,  134,
286
+     136,  138,  140,  142,  144,  146,  148,  150,
287
+     152,  155,  158,  161,  164,  167,  170,  173,
288
+     176,  179,  182,  185,  188,  191,  194,  197,
289
+     200,  203,  207,  211,  215,  219,  223,  227,
290
+     231,  235,  239,  243,  247,  251,  255,  260,
291
+     265,  270,  275,  280,  285,  290,  295,  300,
292
+     305,  311,  317,  323,  329,  335,  341,  347,
293
+     353,  359,  366,  373,  380,  387,  394,  401,
294
+     408,  416,  424,  432,  440,  448,  456,  465,
295
+     474,  483,  492,  501,  510,  520,  530,  540,
296
+     550,  560,  571,  582,  593,  604,  615,  627,
297
+     639,  651,  663,  676,  689,  702,  715,  729,
298
+     743,  757,  771,  786,  801,  816,  832,  848,
299
+     864,  881,  898,  915,  933,  951,  969,  988,
300
+    1007, 1026, 1046, 1066, 1087, 1108, 1129, 1151,
301
+    1173, 1196, 1219, 1243, 1267, 1292, 1317, 1343,
302
+    1369, 1396, 1423, 1451, 1479, 1508, 1537, 1567,
303
+    1597, 1628, 1660, 1692, 1725, 1759, 1793, 1828,
304
+};
305
+
306
+static const enum TxfmType vp9_intra_txfm_type[14] = {
307
+    [VERT_PRED]            = ADST_DCT,
308
+    [HOR_PRED]             = DCT_ADST,
309
+    [DC_PRED]              = DCT_DCT,
310
+    [DIAG_DOWN_LEFT_PRED]  = DCT_DCT,
311
+    [DIAG_DOWN_RIGHT_PRED] = ADST_ADST,
312
+    [VERT_RIGHT_PRED]      = ADST_DCT,
313
+    [HOR_DOWN_PRED]        = DCT_ADST,
314
+    [VERT_LEFT_PRED]       = ADST_DCT,
315
+    [HOR_UP_PRED]          = DCT_ADST,
316
+    [TM_VP8_PRED]          = ADST_ADST,
317
+    [NEARESTMV]            = DCT_DCT,
318
+    [NEARMV]               = DCT_DCT,
319
+    [ZEROMV]               = DCT_DCT,
320
+    [NEWMV]                = DCT_DCT,
321
+};
322
+
323
+static const int16_t vp9_default_scan_4x4[16] = {
324
+     0,  1,  4,  5,
325
+     2,  8,  3,  6,
326
+    12,  9,  7, 10,
327
+    13, 11, 14, 15,
328
+};
329
+
330
+static const int16_t vp9_col_scan_4x4[16] = {
331
+     0,  1,  2,  4,
332
+     3,  5,  6,  8,
333
+     7,  9, 10, 12,
334
+    13, 11, 14, 15,
335
+};
336
+
337
+static const int16_t vp9_row_scan_4x4[16] = {
338
+     0,  4,  1,  8,
339
+     5, 12,  9,  2,
340
+     6, 13,  3, 10,
341
+     7, 14, 11, 15,
342
+};
343
+
344
+static const int16_t vp9_default_scan_8x8[64] = {
345
+     0,  1,  8,  2,  9, 16, 10,  3,
346
+    17, 24, 18, 11,  4, 25, 32, 19,
347
+    12, 26,  5, 33, 20, 27, 40, 13,
348
+    34,  6, 41, 28, 21, 35, 42, 48,
349
+    14,  7, 36, 29, 43, 56, 49, 22,
350
+    15, 37, 50, 44, 57, 30, 23, 51,
351
+    45, 58, 38, 31, 52, 59, 39, 46,
352
+    53, 60, 47, 54, 61, 55, 62, 63,
353
+};
354
+
355
+static const int16_t vp9_col_scan_8x8[64] = {
356
+     0,  1,  2,  8,  3,  9,  4, 10,
357
+    16,  5, 11, 17, 12, 18,  6, 24,
358
+    19, 13, 25,  7, 26, 20, 32, 14,
359
+    27, 21, 33, 28, 34, 15, 22, 35,
360
+    40, 29, 41, 36, 23, 30, 42, 37,
361
+    48, 43, 31, 44, 49, 38, 50, 56,
362
+    45, 39, 51, 57, 52, 46, 58, 53,
363
+    59, 47, 60, 54, 61, 55, 62, 63,
364
+};
365
+
366
+static const int16_t vp9_row_scan_8x8[64] = {
367
+     0,  8, 16,  1,  9, 24,  2, 17,
368
+    32, 10, 25,  3, 40, 18, 11, 33,
369
+    26, 19,  4, 48, 41, 34, 12, 27,
370
+    56, 20,  5, 42, 35, 13, 49, 28,
371
+     6, 21, 43, 36, 14, 50, 29, 57,
372
+     7, 44, 22, 37, 51, 15, 58, 30,
373
+    23, 45, 52, 38, 59, 31, 46, 53,
374
+    39, 60, 47, 61, 54, 62, 55, 63,
375
+};
376
+
377
+static const int16_t vp9_default_scan_16x16[256] = {
378
+      0,   1,  16,   2,  17,  32,   3,  18,  33,  48,   4,  34,  19,  49,  20,   5,
379
+     35,  64,  50,  36,  65,  21,   6,  51,  80,  66,  37,  22,  52,   7,  81,  67,
380
+     38,  82,  53,  23,  96,  68,   8,  83,  97,  54,  39,  69, 112,  24,  98,  84,
381
+     70,  55,   9,  40,  85,  99, 113, 128,  25, 114, 100,  71,  86,  56,  10,  41,
382
+    115, 101, 129, 116,  72,  87,  26, 130, 144, 102,  57,  11,  42, 117, 131, 145,
383
+     88, 103,  27,  73, 132, 118, 146,  58, 160,  12,  43, 133, 147, 104,  89, 119,
384
+    161,  74, 148, 134,  28, 162,  59,  13, 176, 120, 149,  90, 135, 105, 163,  44,
385
+     75, 177, 164,  29, 150, 121, 136, 178, 165,  14, 106,  60,  91, 151,  45, 179,
386
+    192, 137, 166, 122,  76, 180, 152,  30,  61,  15, 107, 167, 181, 193,  92, 208,
387
+     46, 138, 123, 153, 194,  77, 168, 182,  31, 195, 209, 183, 108, 139,  62, 154,
388
+     47, 196,  93, 169, 210, 197, 224, 124, 184, 211,  78, 109, 170, 155,  63, 198,
389
+    212, 185, 225, 240, 140,  94, 199, 125,  79, 213, 226, 171, 186, 156, 214, 200,
390
+    110, 227, 141,  95, 241, 215, 228, 201, 126, 242, 187, 172, 157, 229, 111, 216,
391
+    243, 142, 202, 230, 127, 217, 244, 173, 188, 231, 158, 203, 143, 245, 218, 232,
392
+    189, 246, 159, 174, 233, 247, 219, 204, 175, 190, 248, 234, 205, 220, 249, 191,
393
+    235, 221, 250, 206, 222, 251, 236, 207, 237, 223, 252, 238, 253, 239, 254, 255,
394
+};
395
+
396
+static const int16_t vp9_col_scan_16x16[256] = {
397
+      0,   1,   2,   3,  16,   4,  17,   5,  18,   6,  19,  32,  20,   7,  33,  21,
398
+     34,   8,  35,  22,  48,  36,   9,  49,  23,  50,  37,  10,  38,  51,  24,  64,
399
+     52,  11,  65,  39,  25,  53,  66,  54,  40,  67,  12,  80,  26,  68,  55,  81,
400
+     41,  69,  13,  27,  82,  56,  70,  83,  42,  14,  84,  96,  71,  28,  57,  85,
401
+     97,  15,  72,  98,  43,  86,  58,  99,  29,  87, 100, 112,  73,  44, 101,  59,
402
+     30, 113,  88, 114,  74, 128, 102,  45,  31, 115,  60, 103,  89, 116,  75, 129,
403
+    117,  46, 104,  90,  61, 130, 118, 131, 132, 105,  76,  47, 119, 144,  91,  62,
404
+    133, 106, 145, 120, 146, 134,  77, 147, 121,  92, 135, 148,  63, 107, 136, 122,
405
+     93, 149, 160,  78, 150, 137, 108, 161, 162, 151, 123,  79, 138, 163, 152,  94,
406
+    164, 109, 165, 153, 124, 139, 176, 166,  95, 177, 167, 110, 154, 178, 125, 179,
407
+    140, 168, 155, 111, 180, 192, 181, 169, 141, 126, 182, 193, 194, 156, 183, 170,
408
+    195, 127, 142, 196, 184, 208, 197, 157, 171, 143, 185, 198, 209, 199, 210, 172,
409
+    158, 186, 211, 224, 212, 200, 240, 159, 213, 225, 187, 201, 173, 226, 214, 215,
410
+    227, 202, 228, 188, 241, 216, 174, 229, 242, 203, 243, 217, 230, 175, 189, 244,
411
+    231, 204, 218, 232, 245, 219, 246, 190, 233, 205, 191, 247, 234, 248, 220, 206,
412
+    249, 235, 221, 207, 250, 236, 222, 251, 223, 237, 238, 252, 239, 253, 254, 255,
413
+};
414
+
415
+static const int16_t vp9_row_scan_16x16[256] = {
416
+      0,  16,  32,   1,  48,  17,  64,  33,   2,  80,  18,  49,  96,  34,   3,  65,
417
+     19, 112,  50,  81,  35,   4, 128,  66,  20,  97,  51,  82,   5, 144,  36,  67,
418
+    113,  98,  21,  52, 160,  83, 129,  37,  68,   6, 114, 176,  99,  53,  22,  84,
419
+    145,  38,  69, 130,   7, 115, 192, 100,  54,  23,  85, 161, 146, 131,  39,  70,
420
+    208, 116,   8, 101, 177,  55,  86,  24, 162, 147, 132,  71, 224, 117,  40, 102,
421
+      9, 148,  56,  87, 193, 163, 240, 133, 178,  25, 118,  72,  41, 103, 164,  10,
422
+    149,  88, 134, 209, 179,  57, 119, 194,  26,  73, 165, 150, 104,  42, 135,  11,
423
+    180, 120,  89, 225, 195,  58,  27, 210, 151, 181, 166,  74,  43, 105,  12, 136,
424
+     90,  59, 241, 121,  28, 196, 167, 211, 152,  44, 182, 137,  75,  13, 226, 106,
425
+    122,  60, 197,  91, 168,  29, 183, 153,  14,  76, 212, 138,  45, 107,  15, 198,
426
+     92, 227, 169,  30, 123, 154,  61, 242, 184, 213, 139,  46,  77,  31, 108, 170,
427
+    199, 185, 124, 228,  93, 155, 214,  62, 140, 243,  78,  47, 200, 109, 186, 171,
428
+    201,  94,  63, 215, 229, 156,  79, 125, 141, 110, 216, 187, 172, 244, 202, 230,
429
+    217,  95, 157, 126, 245, 111, 142, 231, 188, 127, 158, 218, 173, 232, 246, 233,
430
+    203, 143, 247, 174, 189, 159, 219, 204, 248, 234, 249, 175, 190, 220, 205, 250,
431
+    235, 191, 221, 251, 236, 206, 252, 222, 207, 237, 223, 253, 238, 254, 239, 255,
432
+};
433
+
434
+static const int16_t vp9_default_scan_32x32[1024] = {
435
+       0,    1,   32,    2,   33,   64,    3,   34,   65,    4,   96,   35,   66,    5,   36,   97,   67,  128,   98,   68,   37,    6,  129,   99,    7,  160,   69,   38,  130,  100,  161,  131,
436
+      39,   70,    8,  101,  162,  132,  192,   71,   40,    9,  102,  163,  133,  193,   72,  224,  103,   41,  164,   10,  194,  134,  165,   73,  104,  135,  225,   42,  195,   11,  256,  166,
437
+     226,  196,   74,  105,  136,   43,   12,  167,  197,  227,  257,   75,  106,  137,  228,   44,  198,  168,  258,  288,   13,  229,   76,  107,  199,  138,  259,  169,  289,   45,  230,  260,
438
+     200,  108,   14,  170,  139,  320,  290,   77,  231,  261,   46,  201,  140,  291,  109,  232,  321,  262,  171,   78,  292,   15,  322,  202,  263,  352,  172,  293,  233,  141,  323,  110,
439
+      47,  203,  264,  234,  294,  353,  324,   16,   79,  204,  265,  295,  325,  173,  354,  142,  235,  384,   48,  296,  111,  266,  355,  326,   80,   17,  205,  236,  174,  356,  385,  327,
440
+     143,  297,  267,  357,  386,  112,   49,  328,  298,  206,  416,  237,  358,  387,   81,  175,   18,  329,  359,  388,  299,  330,  389,  113,  417,  238,  360,   50,  207,  418,  390,  331,
441
+      19,  448,  361,   82,  419,  391,  239,   51,  362,  420,  114,  449,  480,  421,   83,  363,  450,  422,  512,  451,  423,  115,  452,  481,  453,  482,  454,  544,  483,  455,  513,  484,
442
+     514,  485,  515,  486,  545,  576,  487,  546,  547,  608,  577,  578,  579,  609,  610,  611,   20,  144,  268,  392,  516,  640,   21,   52,  145,  176,  269,  300,  393,  424,  517,  548,
443
+     641,  672,   22,   53,   84,  146,  177,  208,  270,  301,  332,  394,  425,  456,  518,  549,  580,  642,  673,  704,   23,   54,   85,  116,  147,  178,  209,  240,  271,  302,  333,  364,
444
+     395,  426,  457,  488,  519,  550,  581,  612,  643,  674,  705,  736,   55,   86,  117,  179,  210,  241,  303,  334,  365,  427,  458,  489,  551,  582,  613,  675,  706,  737,   87,  118,
445
+     211,  242,  335,  366,  459,  490,  583,  614,  707,  738,  119,  243,  367,  491,  615,  739,   24,  148,  272,  396,  520,  644,  768,   25,   56,  149,  180,  273,  304,  397,  428,  521,
446
+     552,  645,  676,  769,  800,   26,   57,   88,  150,  181,  212,  274,  305,  336,  398,  429,  460,  522,  553,  584,  646,  677,  708,  770,  801,  832,   27,   58,   89,  120,  151,  182,
447
+     213,  244,  275,  306,  337,  368,  399,  430,  461,  492,  523,  554,  585,  616,  647,  678,  709,  740,  771,  802,  833,  864,   59,   90,  121,  183,  214,  245,  307,  338,  369,  431,
448
+     462,  493,  555,  586,  617,  679,  710,  741,  803,  834,  865,   91,  122,  215,  246,  339,  370,  463,  494,  587,  618,  711,  742,  835,  866,  123,  247,  371,  495,  619,  743,  867,
449
+      28,  152,  276,  400,  524,  648,  772,  896,   29,   60,  153,  184,  277,  308,  401,  432,  525,  556,  649,  680,  773,  804,  897,  928,   30,   61,   92,  154,  185,  216,  278,  309,
450
+     340,  402,  433,  464,  526,  557,  588,  650,  681,  712,  774,  805,  836,  898,  929,  960,   31,   62,   93,  124,  155,  186,  217,  248,  279,  310,  341,  372,  403,  434,  465,  496,
451
+     527,  558,  589,  620,  651,  682,  713,  744,  775,  806,  837,  868,  899,  930,  961,  992,   63,   94,  125,  187,  218,  249,  311,  342,  373,  435,  466,  497,  559,  590,  621,  683,
452
+     714,  745,  807,  838,  869,  931,  962,  993,   95,  126,  219,  250,  343,  374,  467,  498,  591,  622,  715,  746,  839,  870,  963,  994,  127,  251,  375,  499,  623,  747,  871,  995,
453
+     156,  280,  404,  528,  652,  776,  900,  157,  188,  281,  312,  405,  436,  529,  560,  653,  684,  777,  808,  901,  932,  158,  189,  220,  282,  313,  344,  406,  437,  468,  530,  561,
454
+     592,  654,  685,  716,  778,  809,  840,  902,  933,  964,  159,  190,  221,  252,  283,  314,  345,  376,  407,  438,  469,  500,  531,  562,  593,  624,  655,  686,  717,  748,  779,  810,
455
+     841,  872,  903,  934,  965,  996,  191,  222,  253,  315,  346,  377,  439,  470,  501,  563,  594,  625,  687,  718,  749,  811,  842,  873,  935,  966,  997,  223,  254,  347,  378,  471,
456
+     502,  595,  626,  719,  750,  843,  874,  967,  998,  255,  379,  503,  627,  751,  875,  999,  284,  408,  532,  656,  780,  904,  285,  316,  409,  440,  533,  564,  657,  688,  781,  812,
457
+     905,  936,  286,  317,  348,  410,  441,  472,  534,  565,  596,  658,  689,  720,  782,  813,  844,  906,  937,  968,  287,  318,  349,  380,  411,  442,  473,  504,  535,  566,  597,  628,
458
+     659,  690,  721,  752,  783,  814,  845,  876,  907,  938,  969, 1000,  319,  350,  381,  443,  474,  505,  567,  598,  629,  691,  722,  753,  815,  846,  877,  939,  970, 1001,  351,  382,
459
+     475,  506,  599,  630,  723,  754,  847,  878,  971, 1002,  383,  507,  631,  755,  879, 1003,  412,  536,  660,  784,  908,  413,  444,  537,  568,  661,  692,  785,  816,  909,  940,  414,
460
+     445,  476,  538,  569,  600,  662,  693,  724,  786,  817,  848,  910,  941,  972,  415,  446,  477,  508,  539,  570,  601,  632,  663,  694,  725,  756,  787,  818,  849,  880,  911,  942,
461
+     973, 1004,  447,  478,  509,  571,  602,  633,  695,  726,  757,  819,  850,  881,  943,  974, 1005,  479,  510,  603,  634,  727,  758,  851,  882,  975, 1006,  511,  635,  759,  883, 1007,
462
+     540,  664,  788,  912,  541,  572,  665,  696,  789,  820,  913,  944,  542,  573,  604,  666,  697,  728,  790,  821,  852,  914,  945,  976,  543,  574,  605,  636,  667,  698,  729,  760,
463
+     791,  822,  853,  884,  915,  946,  977, 1008,  575,  606,  637,  699,  730,  761,  823,  854,  885,  947,  978, 1009,  607,  638,  731,  762,  855,  886,  979, 1010,  639,  763,  887, 1011,
464
+     668,  792,  916,  669,  700,  793,  824,  917,  948,  670,  701,  732,  794,  825,  856,  918,  949,  980,  671,  702,  733,  764,  795,  826,  857,  888,  919,  950,  981, 1012,  703,  734,
465
+     765,  827,  858,  889,  951,  982, 1013,  735,  766,  859,  890,  983, 1014,  767,  891, 1015,  796,  920,  797,  828,  921,  952,  798,  829,  860,  922,  953,  984,  799,  830,  861,  892,
466
+     923,  954,  985, 1016,  831,  862,  893,  955,  986, 1017,  863,  894,  987, 1018,  895, 1019,  924,  925,  956,  926,  957,  988,  927,  958,  989, 1020,  959,  990, 1021,  991, 1022, 1023,
467
+};
468
+
469
+static const int16_t *vp9_scans[5][4] = {
470
+    {
471
+        vp9_default_scan_4x4, vp9_col_scan_4x4,
472
+        vp9_row_scan_4x4, vp9_default_scan_4x4
473
+    }, {
474
+        vp9_default_scan_8x8, vp9_col_scan_8x8,
475
+        vp9_row_scan_8x8, vp9_default_scan_8x8
476
+    }, {
477
+        vp9_default_scan_16x16, vp9_col_scan_16x16,
478
+        vp9_row_scan_16x16, vp9_default_scan_16x16
479
+    }, {
480
+        vp9_default_scan_32x32, vp9_default_scan_32x32,
481
+        vp9_default_scan_32x32, vp9_default_scan_32x32
482
+    }, { // lossless
483
+        vp9_default_scan_4x4, vp9_default_scan_4x4,
484
+        vp9_default_scan_4x4, vp9_default_scan_4x4
485
+    }
486
+};
487
+
488
+static const int16_t vp9_default_scan_4x4_nb[16][2] = {
489
+    {  0,  0 }, {  0,  0 }, {  4,  1 }, {  1,  1 },
490
+    {  4,  4 }, {  2,  2 }, {  5,  2 }, {  8,  8 },
491
+    {  8,  5 }, {  6,  3 }, {  9,  6 }, { 12,  9 },
492
+    { 10,  7 }, { 13, 10 }, { 14, 11 }, {  0,  0 },
493
+};
494
+
495
+static const int16_t vp9_col_scan_4x4_nb[16][2] = {
496
+    {  0,  0 }, {  1,  1 }, {  0,  0 }, {  2,  2 },
497
+    {  4,  4 }, {  5,  5 }, {  4,  4 }, {  6,  6 },
498
+    {  8,  8 }, {  9,  9 }, {  8,  8 }, { 12, 12 },
499
+    { 10, 10 }, { 13, 13 }, { 14, 14 }, {  0,  0 },
500
+};
501
+
502
+static const int16_t vp9_row_scan_4x4_nb[16][2] = {
503
+    {  0,  0 }, {  0,  0 }, {  4,  4 }, {  1,  1 },
504
+    {  8,  8 }, {  5,  5 }, {  1,  1 }, {  2,  2 },
505
+    {  9,  9 }, {  2,  2 }, {  6,  6 }, {  3,  3 },
506
+    { 10, 10 }, {  7,  7 }, { 11, 11 }, {  0,  0 },
507
+};
508
+
509
+static const int16_t vp9_default_scan_8x8_nb[64][2] = {
510
+    {  0,  0 }, {  0,  0 }, {  1,  1 }, {  8,  1 },
511
+    {  8,  8 }, {  9,  2 }, {  2,  2 }, { 16,  9 },
512
+    { 16, 16 }, { 17, 10 }, { 10,  3 }, {  3,  3 },
513
+    { 24, 17 }, { 24, 24 }, { 18, 11 }, { 11,  4 },
514
+    { 25, 18 }, {  4,  4 }, { 32, 25 }, { 19, 12 },
515
+    { 26, 19 }, { 32, 32 }, { 12,  5 }, { 33, 26 },
516
+    {  5,  5 }, { 40, 33 }, { 27, 20 }, { 20, 13 },
517
+    { 34, 27 }, { 41, 34 }, { 40, 40 }, { 13,  6 },
518
+    {  6,  6 }, { 35, 28 }, { 28, 21 }, { 42, 35 },
519
+    { 48, 48 }, { 48, 41 }, { 21, 14 }, { 14,  7 },
520
+    { 36, 29 }, { 49, 42 }, { 43, 36 }, { 56, 49 },
521
+    { 29, 22 }, { 22, 15 }, { 50, 43 }, { 44, 37 },
522
+    { 57, 50 }, { 37, 30 }, { 30, 23 }, { 51, 44 },
523
+    { 58, 51 }, { 38, 31 }, { 45, 38 }, { 52, 45 },
524
+    { 59, 52 }, { 46, 39 }, { 53, 46 }, { 60, 53 },
525
+    { 54, 47 }, { 61, 54 }, { 62, 55 }, {  0,  0 },
526
+};
527
+
528
+static const int16_t vp9_col_scan_8x8_nb[64][2] = {
529
+    {  0,  0 }, {  1,  1 }, {  0,  0 }, {  2,  2 },
530
+    {  8,  8 }, {  3,  3 }, {  9,  9 }, {  8,  8 },
531
+    {  4,  4 }, { 10, 10 }, { 16, 16 }, { 11, 11 },
532
+    { 17, 17 }, {  5,  5 }, { 16, 16 }, { 18, 18 },
533
+    { 12, 12 }, { 24, 24 }, {  6,  6 }, { 25, 25 },
534
+    { 19, 19 }, { 24, 24 }, { 13, 13 }, { 26, 26 },
535
+    { 20, 20 }, { 32, 32 }, { 27, 27 }, { 33, 33 },
536
+    { 14, 14 }, { 21, 21 }, { 34, 34 }, { 32, 32 },
537
+    { 28, 28 }, { 40, 40 }, { 35, 35 }, { 22, 22 },
538
+    { 29, 29 }, { 41, 41 }, { 36, 36 }, { 40, 40 },
539
+    { 42, 42 }, { 30, 30 }, { 43, 43 }, { 48, 48 },
540
+    { 37, 37 }, { 49, 49 }, { 48, 48 }, { 44, 44 },
541
+    { 38, 38 }, { 50, 50 }, { 56, 56 }, { 51, 51 },
542
+    { 45, 45 }, { 57, 57 }, { 52, 52 }, { 58, 58 },
543
+    { 46, 46 }, { 59, 59 }, { 53, 53 }, { 60, 60 },
544
+    { 54, 54 }, { 61, 61 }, { 62, 62 }, {  0,  0 },
545
+};
546
+
547
+static const int16_t vp9_row_scan_8x8_nb[64][2] = {
548
+    {  0,  0 }, {  8,  8 }, {  0,  0 }, {  1,  1 },
549
+    { 16, 16 }, {  1,  1 }, {  9,  9 }, { 24, 24 },
550
+    {  2,  2 }, { 17, 17 }, {  2,  2 }, { 32, 32 },
551
+    { 10, 10 }, {  3,  3 }, { 25, 25 }, { 18, 18 },
552
+    { 11, 11 }, {  3,  3 }, { 40, 40 }, { 33, 33 },
553
+    { 26, 26 }, {  4,  4 }, { 19, 19 }, { 48, 48 },
554
+    { 12, 12 }, {  4,  4 }, { 34, 34 }, { 27, 27 },
555
+    {  5,  5 }, { 41, 41 }, { 20, 20 }, {  5,  5 },
556
+    { 13, 13 }, { 35, 35 }, { 28, 28 }, {  6,  6 },
557
+    { 42, 42 }, { 21, 21 }, { 49, 49 }, {  6,  6 },
558
+    { 36, 36 }, { 14, 14 }, { 29, 29 }, { 43, 43 },
559
+    {  7,  7 }, { 50, 50 }, { 22, 22 }, { 15, 15 },
560
+    { 37, 37 }, { 44, 44 }, { 30, 30 }, { 51, 51 },
561
+    { 23, 23 }, { 38, 38 }, { 45, 45 }, { 31, 31 },
562
+    { 52, 52 }, { 39, 39 }, { 53, 53 }, { 46, 46 },
563
+    { 54, 54 }, { 47, 47 }, { 55, 55 }, {  0,  0 },
564
+};
565
+
566
+static const int16_t vp9_default_scan_16x16_nb[256][2] = {
567
+    {   0,   0 }, {   0,   0 }, {   1,   1 }, {  16,   1 },
568
+    {  16,  16 }, {   2,   2 }, {  17,   2 }, {  32,  17 },
569
+    {  32,  32 }, {   3,   3 }, {  33,  18 }, {  18,   3 },
570
+    {  48,  33 }, {  19,   4 }, {   4,   4 }, {  34,  19 },
571
+    {  48,  48 }, {  49,  34 }, {  35,  20 }, {  64,  49 },
572
+    {  20,   5 }, {   5,   5 }, {  50,  35 }, {  64,  64 },
573
+    {  65,  50 }, {  36,  21 }, {  21,   6 }, {  51,  36 },
574
+    {   6,   6 }, {  80,  65 }, {  66,  51 }, {  37,  22 },
575
+    {  81,  66 }, {  52,  37 }, {  22,   7 }, {  80,  80 },
576
+    {  67,  52 }, {   7,   7 }, {  82,  67 }, {  96,  81 },
577
+    {  53,  38 }, {  38,  23 }, {  68,  53 }, {  96,  96 },
578
+    {  23,   8 }, {  97,  82 }, {  83,  68 }, {  69,  54 },
579
+    {  54,  39 }, {   8,   8 }, {  39,  24 }, {  84,  69 },
580
+    {  98,  83 }, { 112,  97 }, { 112, 112 }, {  24,   9 },
581
+    { 113,  98 }, {  99,  84 }, {  70,  55 }, {  85,  70 },
582
+    {  55,  40 }, {   9,   9 }, {  40,  25 }, { 114,  99 },
583
+    { 100,  85 }, { 128, 113 }, { 115, 100 }, {  71,  56 },
584
+    {  86,  71 }, {  25,  10 }, { 129, 114 }, { 128, 128 },
585
+    { 101,  86 }, {  56,  41 }, {  10,  10 }, {  41,  26 },
586
+    { 116, 101 }, { 130, 115 }, { 144, 129 }, {  87,  72 },
587
+    { 102,  87 }, {  26,  11 }, {  72,  57 }, { 131, 116 },
588
+    { 117, 102 }, { 145, 130 }, {  57,  42 }, { 144, 144 },
589
+    {  11,  11 }, {  42,  27 }, { 132, 117 }, { 146, 131 },
590
+    { 103,  88 }, {  88,  73 }, { 118, 103 }, { 160, 145 },
591
+    {  73,  58 }, { 147, 132 }, { 133, 118 }, {  27,  12 },
592
+    { 161, 146 }, {  58,  43 }, {  12,  12 }, { 160, 160 },
593
+    { 119, 104 }, { 148, 133 }, {  89,  74 }, { 134, 119 },
594
+    { 104,  89 }, { 162, 147 }, {  43,  28 }, {  74,  59 },
595
+    { 176, 161 }, { 163, 148 }, {  28,  13 }, { 149, 134 },
596
+    { 120, 105 }, { 135, 120 }, { 177, 162 }, { 164, 149 },
597
+    {  13,  13 }, { 105,  90 }, {  59,  44 }, {  90,  75 },
598
+    { 150, 135 }, {  44,  29 }, { 178, 163 }, { 176, 176 },
599
+    { 136, 121 }, { 165, 150 }, { 121, 106 }, {  75,  60 },
600
+    { 179, 164 }, { 151, 136 }, {  29,  14 }, {  60,  45 },
601
+    {  14,  14 }, { 106,  91 }, { 166, 151 }, { 180, 165 },
602
+    { 192, 177 }, {  91,  76 }, { 192, 192 }, {  45,  30 },
603
+    { 137, 122 }, { 122, 107 }, { 152, 137 }, { 193, 178 },
604
+    {  76,  61 }, { 167, 152 }, { 181, 166 }, {  30,  15 },
605
+    { 194, 179 }, { 208, 193 }, { 182, 167 }, { 107,  92 },
606
+    { 138, 123 }, {  61,  46 }, { 153, 138 }, {  46,  31 },
607
+    { 195, 180 }, {  92,  77 }, { 168, 153 }, { 209, 194 },
608
+    { 196, 181 }, { 208, 208 }, { 123, 108 }, { 183, 168 },
609
+    { 210, 195 }, {  77,  62 }, { 108,  93 }, { 169, 154 },
610
+    { 154, 139 }, {  62,  47 }, { 197, 182 }, { 211, 196 },
611
+    { 184, 169 }, { 224, 209 }, { 224, 224 }, { 139, 124 },
612
+    {  93,  78 }, { 198, 183 }, { 124, 109 }, {  78,  63 },
613
+    { 212, 197 }, { 225, 210 }, { 170, 155 }, { 185, 170 },
614
+    { 155, 140 }, { 213, 198 }, { 199, 184 }, { 109,  94 },
615
+    { 226, 211 }, { 140, 125 }, {  94,  79 }, { 240, 225 },
616
+    { 214, 199 }, { 227, 212 }, { 200, 185 }, { 125, 110 },
617
+    { 241, 226 }, { 186, 171 }, { 171, 156 }, { 156, 141 },
618
+    { 228, 213 }, { 110,  95 }, { 215, 200 }, { 242, 227 },
619
+    { 141, 126 }, { 201, 186 }, { 229, 214 }, { 126, 111 },
620
+    { 216, 201 }, { 243, 228 }, { 172, 157 }, { 187, 172 },
621
+    { 230, 215 }, { 157, 142 }, { 202, 187 }, { 142, 127 },
622
+    { 244, 229 }, { 217, 202 }, { 231, 216 }, { 188, 173 },
623
+    { 245, 230 }, { 158, 143 }, { 173, 158 }, { 232, 217 },
624
+    { 246, 231 }, { 218, 203 }, { 203, 188 }, { 174, 159 },
625
+    { 189, 174 }, { 247, 232 }, { 233, 218 }, { 204, 189 },
626
+    { 219, 204 }, { 248, 233 }, { 190, 175 }, { 234, 219 },
627
+    { 220, 205 }, { 249, 234 }, { 205, 190 }, { 221, 206 },
628
+    { 250, 235 }, { 235, 220 }, { 206, 191 }, { 236, 221 },
629
+    { 222, 207 }, { 251, 236 }, { 237, 222 }, { 252, 237 },
630
+    { 238, 223 }, { 253, 238 }, { 254, 239 }, {   0,   0 },
631
+};
632
+
633
+static const int16_t vp9_col_scan_16x16_nb[256][2] = {
634
+    {   0,   0 }, {   1,   1 }, {   2,   2 }, {   0,   0 },
635
+    {   3,   3 }, {  16,  16 }, {   4,   4 }, {  17,  17 },
636
+    {   5,   5 }, {  18,  18 }, {  16,  16 }, {  19,  19 },
637
+    {   6,   6 }, {  32,  32 }, {  20,  20 }, {  33,  33 },
638
+    {   7,   7 }, {  34,  34 }, {  21,  21 }, {  32,  32 },
639
+    {  35,  35 }, {   8,   8 }, {  48,  48 }, {  22,  22 },
640
+    {  49,  49 }, {  36,  36 }, {   9,   9 }, {  37,  37 },
641
+    {  50,  50 }, {  23,  23 }, {  48,  48 }, {  51,  51 },
642
+    {  10,  10 }, {  64,  64 }, {  38,  38 }, {  24,  24 },
643
+    {  52,  52 }, {  65,  65 }, {  53,  53 }, {  39,  39 },
644
+    {  66,  66 }, {  11,  11 }, {  64,  64 }, {  25,  25 },
645
+    {  67,  67 }, {  54,  54 }, {  80,  80 }, {  40,  40 },
646
+    {  68,  68 }, {  12,  12 }, {  26,  26 }, {  81,  81 },
647
+    {  55,  55 }, {  69,  69 }, {  82,  82 }, {  41,  41 },
648
+    {  13,  13 }, {  83,  83 }, {  80,  80 }, {  70,  70 },
649
+    {  27,  27 }, {  56,  56 }, {  84,  84 }, {  96,  96 },
650
+    {  14,  14 }, {  71,  71 }, {  97,  97 }, {  42,  42 },
651
+    {  85,  85 }, {  57,  57 }, {  98,  98 }, {  28,  28 },
652
+    {  86,  86 }, {  99,  99 }, {  96,  96 }, {  72,  72 },
653
+    {  43,  43 }, { 100, 100 }, {  58,  58 }, {  29,  29 },
654
+    { 112, 112 }, {  87,  87 }, { 113, 113 }, {  73,  73 },
655
+    { 112, 112 }, { 101, 101 }, {  44,  44 }, {  30,  30 },
656
+    { 114, 114 }, {  59,  59 }, { 102, 102 }, {  88,  88 },
657
+    { 115, 115 }, {  74,  74 }, { 128, 128 }, { 116, 116 },
658
+    {  45,  45 }, { 103, 103 }, {  89,  89 }, {  60,  60 },
659
+    { 129, 129 }, { 117, 117 }, { 130, 130 }, { 131, 131 },
660
+    { 104, 104 }, {  75,  75 }, {  46,  46 }, { 118, 118 },
661
+    { 128, 128 }, {  90,  90 }, {  61,  61 }, { 132, 132 },
662
+    { 105, 105 }, { 144, 144 }, { 119, 119 }, { 145, 145 },
663
+    { 133, 133 }, {  76,  76 }, { 146, 146 }, { 120, 120 },
664
+    {  91,  91 }, { 134, 134 }, { 147, 147 }, {  62,  62 },
665
+    { 106, 106 }, { 135, 135 }, { 121, 121 }, {  92,  92 },
666
+    { 148, 148 }, { 144, 144 }, {  77,  77 }, { 149, 149 },
667
+    { 136, 136 }, { 107, 107 }, { 160, 160 }, { 161, 161 },
668
+    { 150, 150 }, { 122, 122 }, {  78,  78 }, { 137, 137 },
669
+    { 162, 162 }, { 151, 151 }, {  93,  93 }, { 163, 163 },
670
+    { 108, 108 }, { 164, 164 }, { 152, 152 }, { 123, 123 },
671
+    { 138, 138 }, { 160, 160 }, { 165, 165 }, {  94,  94 },
672
+    { 176, 176 }, { 166, 166 }, { 109, 109 }, { 153, 153 },
673
+    { 177, 177 }, { 124, 124 }, { 178, 178 }, { 139, 139 },
674
+    { 167, 167 }, { 154, 154 }, { 110, 110 }, { 179, 179 },
675
+    { 176, 176 }, { 180, 180 }, { 168, 168 }, { 140, 140 },
676
+    { 125, 125 }, { 181, 181 }, { 192, 192 }, { 193, 193 },
677
+    { 155, 155 }, { 182, 182 }, { 169, 169 }, { 194, 194 },
678
+    { 126, 126 }, { 141, 141 }, { 195, 195 }, { 183, 183 },
679
+    { 192, 192 }, { 196, 196 }, { 156, 156 }, { 170, 170 },
680
+    { 142, 142 }, { 184, 184 }, { 197, 197 }, { 208, 208 },
681
+    { 198, 198 }, { 209, 209 }, { 171, 171 }, { 157, 157 },
682
+    { 185, 185 }, { 210, 210 }, { 208, 208 }, { 211, 211 },
683
+    { 199, 199 }, { 224, 224 }, { 158, 158 }, { 212, 212 },
684
+    { 224, 224 }, { 186, 186 }, { 200, 200 }, { 172, 172 },
685
+    { 225, 225 }, { 213, 213 }, { 214, 214 }, { 226, 226 },
686
+    { 201, 201 }, { 227, 227 }, { 187, 187 }, { 240, 240 },
687
+    { 215, 215 }, { 173, 173 }, { 228, 228 }, { 241, 241 },
688
+    { 202, 202 }, { 242, 242 }, { 216, 216 }, { 229, 229 },
689
+    { 174, 174 }, { 188, 188 }, { 243, 243 }, { 230, 230 },
690
+    { 203, 203 }, { 217, 217 }, { 231, 231 }, { 244, 244 },
691
+    { 218, 218 }, { 245, 245 }, { 189, 189 }, { 232, 232 },
692
+    { 204, 204 }, { 190, 190 }, { 246, 246 }, { 233, 233 },
693
+    { 247, 247 }, { 219, 219 }, { 205, 205 }, { 248, 248 },
694
+    { 234, 234 }, { 220, 220 }, { 206, 206 }, { 249, 249 },
695
+    { 235, 235 }, { 221, 221 }, { 250, 250 }, { 222, 222 },
696
+    { 236, 236 }, { 237, 237 }, { 251, 251 }, { 238, 238 },
697
+    { 252, 252 }, { 253, 253 }, { 254, 254 }, {   0,   0 },
698
+};
699
+
700
+static const int16_t vp9_row_scan_16x16_nb[256][2] = {
701
+    {   0,   0 }, {  16,  16 }, {   0,   0 }, {  32,  32 },
702
+    {   1,   1 }, {  48,  48 }, {  17,  17 }, {   1,   1 },
703
+    {  64,  64 }, {   2,   2 }, {  33,  33 }, {  80,  80 },
704
+    {  18,  18 }, {   2,   2 }, {  49,  49 }, {   3,   3 },
705
+    {  96,  96 }, {  34,  34 }, {  65,  65 }, {  19,  19 },
706
+    {   3,   3 }, { 112, 112 }, {  50,  50 }, {   4,   4 },
707
+    {  81,  81 }, {  35,  35 }, {  66,  66 }, {   4,   4 },
708
+    { 128, 128 }, {  20,  20 }, {  51,  51 }, {  97,  97 },
709
+    {  82,  82 }, {   5,   5 }, {  36,  36 }, { 144, 144 },
710
+    {  67,  67 }, { 113, 113 }, {  21,  21 }, {  52,  52 },
711
+    {   5,   5 }, {  98,  98 }, { 160, 160 }, {  83,  83 },
712
+    {  37,  37 }, {   6,   6 }, {  68,  68 }, { 129, 129 },
713
+    {  22,  22 }, {  53,  53 }, { 114, 114 }, {   6,   6 },
714
+    {  99,  99 }, { 176, 176 }, {  84,  84 }, {  38,  38 },
715
+    {   7,   7 }, {  69,  69 }, { 145, 145 }, { 130, 130 },
716
+    { 115, 115 }, {  23,  23 }, {  54,  54 }, { 192, 192 },
717
+    { 100, 100 }, {   7,   7 }, {  85,  85 }, { 161, 161 },
718
+    {  39,  39 }, {  70,  70 }, {   8,   8 }, { 146, 146 },
719
+    { 131, 131 }, { 116, 116 }, {  55,  55 }, { 208, 208 },
720
+    { 101, 101 }, {  24,  24 }, {  86,  86 }, {   8,   8 },
721
+    { 132, 132 }, {  40,  40 }, {  71,  71 }, { 177, 177 },
722
+    { 147, 147 }, { 224, 224 }, { 117, 117 }, { 162, 162 },
723
+    {   9,   9 }, { 102, 102 }, {  56,  56 }, {  25,  25 },
724
+    {  87,  87 }, { 148, 148 }, {   9,   9 }, { 133, 133 },
725
+    {  72,  72 }, { 118, 118 }, { 193, 193 }, { 163, 163 },
726
+    {  41,  41 }, { 103, 103 }, { 178, 178 }, {  10,  10 },
727
+    {  57,  57 }, { 149, 149 }, { 134, 134 }, {  88,  88 },
728
+    {  26,  26 }, { 119, 119 }, {  10,  10 }, { 164, 164 },
729
+    { 104, 104 }, {  73,  73 }, { 209, 209 }, { 179, 179 },
730
+    {  42,  42 }, {  11,  11 }, { 194, 194 }, { 135, 135 },
731
+    { 165, 165 }, { 150, 150 }, {  58,  58 }, {  27,  27 },
732
+    {  89,  89 }, {  11,  11 }, { 120, 120 }, {  74,  74 },
733
+    {  43,  43 }, { 225, 225 }, { 105, 105 }, {  12,  12 },
734
+    { 180, 180 }, { 151, 151 }, { 195, 195 }, { 136, 136 },
735
+    {  28,  28 }, { 166, 166 }, { 121, 121 }, {  59,  59 },
736
+    {  12,  12 }, { 210, 210 }, {  90,  90 }, { 106, 106 },
737
+    {  44,  44 }, { 181, 181 }, {  75,  75 }, { 152, 152 },
738
+    {  13,  13 }, { 167, 167 }, { 137, 137 }, {  13,  13 },
739
+    {  60,  60 }, { 196, 196 }, { 122, 122 }, {  29,  29 },
740
+    {  91,  91 }, {  14,  14 }, { 182, 182 }, {  76,  76 },
741
+    { 211, 211 }, { 153, 153 }, {  14,  14 }, { 107, 107 },
742
+    { 138, 138 }, {  45,  45 }, { 226, 226 }, { 168, 168 },
743
+    { 197, 197 }, { 123, 123 }, {  30,  30 }, {  61,  61 },
744
+    {  15,  15 }, {  92,  92 }, { 154, 154 }, { 183, 183 },
745
+    { 169, 169 }, { 108, 108 }, { 212, 212 }, {  77,  77 },
746
+    { 139, 139 }, { 198, 198 }, {  46,  46 }, { 124, 124 },
747
+    { 227, 227 }, {  62,  62 }, {  31,  31 }, { 184, 184 },
748
+    {  93,  93 }, { 170, 170 }, { 155, 155 }, { 185, 185 },
749
+    {  78,  78 }, {  47,  47 }, { 199, 199 }, { 213, 213 },
750
+    { 140, 140 }, {  63,  63 }, { 109, 109 }, { 125, 125 },
751
+    {  94,  94 }, { 200, 200 }, { 171, 171 }, { 156, 156 },
752
+    { 228, 228 }, { 186, 186 }, { 214, 214 }, { 201, 201 },
753
+    {  79,  79 }, { 141, 141 }, { 110, 110 }, { 229, 229 },
754
+    {  95,  95 }, { 126, 126 }, { 215, 215 }, { 172, 172 },
755
+    { 111, 111 }, { 142, 142 }, { 202, 202 }, { 157, 157 },
756
+    { 216, 216 }, { 230, 230 }, { 217, 217 }, { 187, 187 },
757
+    { 127, 127 }, { 231, 231 }, { 158, 158 }, { 173, 173 },
758
+    { 143, 143 }, { 203, 203 }, { 188, 188 }, { 232, 232 },
759
+    { 218, 218 }, { 233, 233 }, { 159, 159 }, { 174, 174 },
760
+    { 204, 204 }, { 189, 189 }, { 234, 234 }, { 219, 219 },
761
+    { 175, 175 }, { 205, 205 }, { 235, 235 }, { 220, 220 },
762
+    { 190, 190 }, { 236, 236 }, { 206, 206 }, { 191, 191 },
763
+    { 221, 221 }, { 207, 207 }, { 237, 237 }, { 222, 222 },
764
+    { 238, 238 }, { 223, 223 }, { 239, 239 }, {   0,   0 },
765
+};
766
+
767
+static const int16_t vp9_default_scan_32x32_nb[1024][2] = {
768
+    {    0,    0 }, {    0,    0 }, {    1,    1 }, {   32,    1 },
769
+    {   32,   32 }, {    2,    2 }, {   33,    2 }, {   64,   33 },
770
+    {    3,    3 }, {   64,   64 }, {   34,    3 }, {   65,   34 },
771
+    {    4,    4 }, {   35,    4 }, {   96,   65 }, {   66,   35 },
772
+    {   96,   96 }, {   97,   66 }, {   67,   36 }, {   36,    5 },
773
+    {    5,    5 }, {  128,   97 }, {   98,   67 }, {    6,    6 },
774
+    {  128,  128 }, {   68,   37 }, {   37,    6 }, {  129,   98 },
775
+    {   99,   68 }, {  160,  129 }, {  130,   99 }, {   38,    7 },
776
+    {   69,   38 }, {    7,    7 }, {  100,   69 }, {  161,  130 },
777
+    {  131,  100 }, {  160,  160 }, {   70,   39 }, {   39,    8 },
778
+    {    8,    8 }, {  101,   70 }, {  162,  131 }, {  132,  101 },
779
+    {  192,  161 }, {   71,   40 }, {  192,  192 }, {  102,   71 },
780
+    {   40,    9 }, {  163,  132 }, {    9,    9 }, {  193,  162 },
781
+    {  133,  102 }, {  164,  133 }, {   72,   41 }, {  103,   72 },
782
+    {  134,  103 }, {  224,  193 }, {   41,   10 }, {  194,  163 },
783
+    {   10,   10 }, {  224,  224 }, {  165,  134 }, {  225,  194 },
784
+    {  195,  164 }, {   73,   42 }, {  104,   73 }, {  135,  104 },
785
+    {   42,   11 }, {   11,   11 }, {  166,  135 }, {  196,  165 },
786
+    {  226,  195 }, {  256,  225 }, {   74,   43 }, {  105,   74 },
787
+    {  136,  105 }, {  227,  196 }, {   43,   12 }, {  197,  166 },
788
+    {  167,  136 }, {  257,  226 }, {  256,  256 }, {   12,   12 },
789
+    {  228,  197 }, {   75,   44 }, {  106,   75 }, {  198,  167 },
790
+    {  137,  106 }, {  258,  227 }, {  168,  137 }, {  288,  257 },
791
+    {   44,   13 }, {  229,  198 }, {  259,  228 }, {  199,  168 },
792
+    {  107,   76 }, {   13,   13 }, {  169,  138 }, {  138,  107 },
793
+    {  288,  288 }, {  289,  258 }, {   76,   45 }, {  230,  199 },
794
+    {  260,  229 }, {   45,   14 }, {  200,  169 }, {  139,  108 },
795
+    {  290,  259 }, {  108,   77 }, {  231,  200 }, {  320,  289 },
796
+    {  261,  230 }, {  170,  139 }, {   77,   46 }, {  291,  260 },
797
+    {   14,   14 }, {  321,  290 }, {  201,  170 }, {  262,  231 },
798
+    {  320,  320 }, {  171,  140 }, {  292,  261 }, {  232,  201 },
799
+    {  140,  109 }, {  322,  291 }, {  109,   78 }, {   46,   15 },
800
+    {  202,  171 }, {  263,  232 }, {  233,  202 }, {  293,  262 },
801
+    {  352,  321 }, {  323,  292 }, {   15,   15 }, {   78,   47 },
802
+    {  203,  172 }, {  264,  233 }, {  294,  263 }, {  324,  293 },
803
+    {  172,  141 }, {  353,  322 }, {  141,  110 }, {  234,  203 },
804
+    {  352,  352 }, {   47,   16 }, {  295,  264 }, {  110,   79 },
805
+    {  265,  234 }, {  354,  323 }, {  325,  294 }, {   79,   48 },
806
+    {   16,   16 }, {  204,  173 }, {  235,  204 }, {  173,  142 },
807
+    {  355,  324 }, {  384,  353 }, {  326,  295 }, {  142,  111 },
808
+    {  296,  265 }, {  266,  235 }, {  356,  325 }, {  385,  354 },
809
+    {  111,   80 }, {   48,   17 }, {  327,  296 }, {  297,  266 },
810
+    {  205,  174 }, {  384,  384 }, {  236,  205 }, {  357,  326 },
811
+    {  386,  355 }, {   80,   49 }, {  174,  143 }, {   17,   17 },
812
+    {  328,  297 }, {  358,  327 }, {  387,  356 }, {  298,  267 },
813
+    {  329,  298 }, {  388,  357 }, {  112,   81 }, {  416,  385 },
814
+    {  237,  206 }, {  359,  328 }, {   49,   18 }, {  206,  175 },
815
+    {  417,  386 }, {  389,  358 }, {  330,  299 }, {   18,   18 },
816
+    {  416,  416 }, {  360,  329 }, {   81,   50 }, {  418,  387 },
817
+    {  390,  359 }, {  238,  207 }, {   50,   19 }, {  361,  330 },
818
+    {  419,  388 }, {  113,   82 }, {  448,  417 }, {  448,  448 },
819
+    {  420,  389 }, {   82,   51 }, {  362,  331 }, {  449,  418 },
820
+    {  421,  390 }, {  480,  480 }, {  450,  419 }, {  422,  391 },
821
+    {  114,   83 }, {  451,  420 }, {  480,  449 }, {  452,  421 },
822
+    {  481,  450 }, {  453,  422 }, {  512,  512 }, {  482,  451 },
823
+    {  454,  423 }, {  512,  481 }, {  483,  452 }, {  513,  482 },
824
+    {  484,  453 }, {  514,  483 }, {  485,  454 }, {  544,  513 },
825
+    {  544,  544 }, {  486,  455 }, {  545,  514 }, {  546,  515 },
826
+    {  576,  576 }, {  576,  545 }, {  577,  546 }, {  578,  547 },
827
+    {  608,  577 }, {  609,  578 }, {  610,  579 }, {   19,   19 },
828
+    {  143,  112 }, {  267,  236 }, {  391,  360 }, {  515,  484 },
829
+    {  608,  608 }, {   20,   20 }, {   51,   20 }, {  144,  113 },
830
+    {  175,  144 }, {  268,  237 }, {  299,  268 }, {  392,  361 },
831
+    {  423,  392 }, {  516,  485 }, {  547,  516 }, {  640,  609 },
832
+    {  640,  640 }, {   21,   21 }, {   52,   21 }, {   83,   52 },
833
+    {  145,  114 }, {  176,  145 }, {  207,  176 }, {  269,  238 },
834
+    {  300,  269 }, {  331,  300 }, {  393,  362 }, {  424,  393 },
835
+    {  455,  424 }, {  517,  486 }, {  548,  517 }, {  579,  548 },
836
+    {  641,  610 }, {  672,  641 }, {  672,  672 }, {   22,   22 },
837
+    {   53,   22 }, {   84,   53 }, {  115,   84 }, {  146,  115 },
838
+    {  177,  146 }, {  208,  177 }, {  239,  208 }, {  270,  239 },
839
+    {  301,  270 }, {  332,  301 }, {  363,  332 }, {  394,  363 },
840
+    {  425,  394 }, {  456,  425 }, {  487,  456 }, {  518,  487 },
841
+    {  549,  518 }, {  580,  549 }, {  611,  580 }, {  642,  611 },
842
+    {  673,  642 }, {  704,  673 }, {  704,  704 }, {   54,   23 },
843
+    {   85,   54 }, {  116,   85 }, {  178,  147 }, {  209,  178 },
844
+    {  240,  209 }, {  302,  271 }, {  333,  302 }, {  364,  333 },
845
+    {  426,  395 }, {  457,  426 }, {  488,  457 }, {  550,  519 },
846
+    {  581,  550 }, {  612,  581 }, {  674,  643 }, {  705,  674 },
847
+    {  736,  705 }, {   86,   55 }, {  117,   86 }, {  210,  179 },
848
+    {  241,  210 }, {  334,  303 }, {  365,  334 }, {  458,  427 },
849
+    {  489,  458 }, {  582,  551 }, {  613,  582 }, {  706,  675 },
850
+    {  737,  706 }, {  118,   87 }, {  242,  211 }, {  366,  335 },
851
+    {  490,  459 }, {  614,  583 }, {  738,  707 }, {   23,   23 },
852
+    {  147,  116 }, {  271,  240 }, {  395,  364 }, {  519,  488 },
853
+    {  643,  612 }, {  736,  736 }, {   24,   24 }, {   55,   24 },
854
+    {  148,  117 }, {  179,  148 }, {  272,  241 }, {  303,  272 },
855
+    {  396,  365 }, {  427,  396 }, {  520,  489 }, {  551,  520 },
856
+    {  644,  613 }, {  675,  644 }, {  768,  737 }, {  768,  768 },
857
+    {   25,   25 }, {   56,   25 }, {   87,   56 }, {  149,  118 },
858
+    {  180,  149 }, {  211,  180 }, {  273,  242 }, {  304,  273 },
859
+    {  335,  304 }, {  397,  366 }, {  428,  397 }, {  459,  428 },
860
+    {  521,  490 }, {  552,  521 }, {  583,  552 }, {  645,  614 },
861
+    {  676,  645 }, {  707,  676 }, {  769,  738 }, {  800,  769 },
862
+    {  800,  800 }, {   26,   26 }, {   57,   26 }, {   88,   57 },
863
+    {  119,   88 }, {  150,  119 }, {  181,  150 }, {  212,  181 },
864
+    {  243,  212 }, {  274,  243 }, {  305,  274 }, {  336,  305 },
865
+    {  367,  336 }, {  398,  367 }, {  429,  398 }, {  460,  429 },
866
+    {  491,  460 }, {  522,  491 }, {  553,  522 }, {  584,  553 },
867
+    {  615,  584 }, {  646,  615 }, {  677,  646 }, {  708,  677 },
868
+    {  739,  708 }, {  770,  739 }, {  801,  770 }, {  832,  801 },
869
+    {  832,  832 }, {   58,   27 }, {   89,   58 }, {  120,   89 },
870
+    {  182,  151 }, {  213,  182 }, {  244,  213 }, {  306,  275 },
871
+    {  337,  306 }, {  368,  337 }, {  430,  399 }, {  461,  430 },
872
+    {  492,  461 }, {  554,  523 }, {  585,  554 }, {  616,  585 },
873
+    {  678,  647 }, {  709,  678 }, {  740,  709 }, {  802,  771 },
874
+    {  833,  802 }, {  864,  833 }, {   90,   59 }, {  121,   90 },
875
+    {  214,  183 }, {  245,  214 }, {  338,  307 }, {  369,  338 },
876
+    {  462,  431 }, {  493,  462 }, {  586,  555 }, {  617,  586 },
877
+    {  710,  679 }, {  741,  710 }, {  834,  803 }, {  865,  834 },
878
+    {  122,   91 }, {  246,  215 }, {  370,  339 }, {  494,  463 },
879
+    {  618,  587 }, {  742,  711 }, {  866,  835 }, {   27,   27 },
880
+    {  151,  120 }, {  275,  244 }, {  399,  368 }, {  523,  492 },
881
+    {  647,  616 }, {  771,  740 }, {  864,  864 }, {   28,   28 },
882
+    {   59,   28 }, {  152,  121 }, {  183,  152 }, {  276,  245 },
883
+    {  307,  276 }, {  400,  369 }, {  431,  400 }, {  524,  493 },
884
+    {  555,  524 }, {  648,  617 }, {  679,  648 }, {  772,  741 },
885
+    {  803,  772 }, {  896,  865 }, {  896,  896 }, {   29,   29 },
886
+    {   60,   29 }, {   91,   60 }, {  153,  122 }, {  184,  153 },
887
+    {  215,  184 }, {  277,  246 }, {  308,  277 }, {  339,  308 },
888
+    {  401,  370 }, {  432,  401 }, {  463,  432 }, {  525,  494 },
889
+    {  556,  525 }, {  587,  556 }, {  649,  618 }, {  680,  649 },
890
+    {  711,  680 }, {  773,  742 }, {  804,  773 }, {  835,  804 },
891
+    {  897,  866 }, {  928,  897 }, {  928,  928 }, {   30,   30 },
892
+    {   61,   30 }, {   92,   61 }, {  123,   92 }, {  154,  123 },
893
+    {  185,  154 }, {  216,  185 }, {  247,  216 }, {  278,  247 },
894
+    {  309,  278 }, {  340,  309 }, {  371,  340 }, {  402,  371 },
895
+    {  433,  402 }, {  464,  433 }, {  495,  464 }, {  526,  495 },
896
+    {  557,  526 }, {  588,  557 }, {  619,  588 }, {  650,  619 },
897
+    {  681,  650 }, {  712,  681 }, {  743,  712 }, {  774,  743 },
898
+    {  805,  774 }, {  836,  805 }, {  867,  836 }, {  898,  867 },
899
+    {  929,  898 }, {  960,  929 }, {  960,  960 }, {   62,   31 },
900
+    {   93,   62 }, {  124,   93 }, {  186,  155 }, {  217,  186 },
901
+    {  248,  217 }, {  310,  279 }, {  341,  310 }, {  372,  341 },
902
+    {  434,  403 }, {  465,  434 }, {  496,  465 }, {  558,  527 },
903
+    {  589,  558 }, {  620,  589 }, {  682,  651 }, {  713,  682 },
904
+    {  744,  713 }, {  806,  775 }, {  837,  806 }, {  868,  837 },
905
+    {  930,  899 }, {  961,  930 }, {  992,  961 }, {   94,   63 },
906
+    {  125,   94 }, {  218,  187 }, {  249,  218 }, {  342,  311 },
907
+    {  373,  342 }, {  466,  435 }, {  497,  466 }, {  590,  559 },
908
+    {  621,  590 }, {  714,  683 }, {  745,  714 }, {  838,  807 },
909
+    {  869,  838 }, {  962,  931 }, {  993,  962 }, {  126,   95 },
910
+    {  250,  219 }, {  374,  343 }, {  498,  467 }, {  622,  591 },
911
+    {  746,  715 }, {  870,  839 }, {  994,  963 }, {  155,  124 },
912
+    {  279,  248 }, {  403,  372 }, {  527,  496 }, {  651,  620 },
913
+    {  775,  744 }, {  899,  868 }, {  156,  125 }, {  187,  156 },
914
+    {  280,  249 }, {  311,  280 }, {  404,  373 }, {  435,  404 },
915
+    {  528,  497 }, {  559,  528 }, {  652,  621 }, {  683,  652 },
916
+    {  776,  745 }, {  807,  776 }, {  900,  869 }, {  931,  900 },
917
+    {  157,  126 }, {  188,  157 }, {  219,  188 }, {  281,  250 },
918
+    {  312,  281 }, {  343,  312 }, {  405,  374 }, {  436,  405 },
919
+    {  467,  436 }, {  529,  498 }, {  560,  529 }, {  591,  560 },
920
+    {  653,  622 }, {  684,  653 }, {  715,  684 }, {  777,  746 },
921
+    {  808,  777 }, {  839,  808 }, {  901,  870 }, {  932,  901 },
922
+    {  963,  932 }, {  158,  127 }, {  189,  158 }, {  220,  189 },
923
+    {  251,  220 }, {  282,  251 }, {  313,  282 }, {  344,  313 },
924
+    {  375,  344 }, {  406,  375 }, {  437,  406 }, {  468,  437 },
925
+    {  499,  468 }, {  530,  499 }, {  561,  530 }, {  592,  561 },
926
+    {  623,  592 }, {  654,  623 }, {  685,  654 }, {  716,  685 },
927
+    {  747,  716 }, {  778,  747 }, {  809,  778 }, {  840,  809 },
928
+    {  871,  840 }, {  902,  871 }, {  933,  902 }, {  964,  933 },
929
+    {  995,  964 }, {  190,  159 }, {  221,  190 }, {  252,  221 },
930
+    {  314,  283 }, {  345,  314 }, {  376,  345 }, {  438,  407 },
931
+    {  469,  438 }, {  500,  469 }, {  562,  531 }, {  593,  562 },
932
+    {  624,  593 }, {  686,  655 }, {  717,  686 }, {  748,  717 },
933
+    {  810,  779 }, {  841,  810 }, {  872,  841 }, {  934,  903 },
934
+    {  965,  934 }, {  996,  965 }, {  222,  191 }, {  253,  222 },
935
+    {  346,  315 }, {  377,  346 }, {  470,  439 }, {  501,  470 },
936
+    {  594,  563 }, {  625,  594 }, {  718,  687 }, {  749,  718 },
937
+    {  842,  811 }, {  873,  842 }, {  966,  935 }, {  997,  966 },
938
+    {  254,  223 }, {  378,  347 }, {  502,  471 }, {  626,  595 },
939
+    {  750,  719 }, {  874,  843 }, {  998,  967 }, {  283,  252 },
940
+    {  407,  376 }, {  531,  500 }, {  655,  624 }, {  779,  748 },
941
+    {  903,  872 }, {  284,  253 }, {  315,  284 }, {  408,  377 },
942
+    {  439,  408 }, {  532,  501 }, {  563,  532 }, {  656,  625 },
943
+    {  687,  656 }, {  780,  749 }, {  811,  780 }, {  904,  873 },
944
+    {  935,  904 }, {  285,  254 }, {  316,  285 }, {  347,  316 },
945
+    {  409,  378 }, {  440,  409 }, {  471,  440 }, {  533,  502 },
946
+    {  564,  533 }, {  595,  564 }, {  657,  626 }, {  688,  657 },
947
+    {  719,  688 }, {  781,  750 }, {  812,  781 }, {  843,  812 },
948
+    {  905,  874 }, {  936,  905 }, {  967,  936 }, {  286,  255 },
949
+    {  317,  286 }, {  348,  317 }, {  379,  348 }, {  410,  379 },
950
+    {  441,  410 }, {  472,  441 }, {  503,  472 }, {  534,  503 },
951
+    {  565,  534 }, {  596,  565 }, {  627,  596 }, {  658,  627 },
952
+    {  689,  658 }, {  720,  689 }, {  751,  720 }, {  782,  751 },
953
+    {  813,  782 }, {  844,  813 }, {  875,  844 }, {  906,  875 },
954
+    {  937,  906 }, {  968,  937 }, {  999,  968 }, {  318,  287 },
955
+    {  349,  318 }, {  380,  349 }, {  442,  411 }, {  473,  442 },
956
+    {  504,  473 }, {  566,  535 }, {  597,  566 }, {  628,  597 },
957
+    {  690,  659 }, {  721,  690 }, {  752,  721 }, {  814,  783 },
958
+    {  845,  814 }, {  876,  845 }, {  938,  907 }, {  969,  938 },
959
+    { 1000,  969 }, {  350,  319 }, {  381,  350 }, {  474,  443 },
960
+    {  505,  474 }, {  598,  567 }, {  629,  598 }, {  722,  691 },
961
+    {  753,  722 }, {  846,  815 }, {  877,  846 }, {  970,  939 },
962
+    { 1001,  970 }, {  382,  351 }, {  506,  475 }, {  630,  599 },
963
+    {  754,  723 }, {  878,  847 }, { 1002,  971 }, {  411,  380 },
964
+    {  535,  504 }, {  659,  628 }, {  783,  752 }, {  907,  876 },
965
+    {  412,  381 }, {  443,  412 }, {  536,  505 }, {  567,  536 },
966
+    {  660,  629 }, {  691,  660 }, {  784,  753 }, {  815,  784 },
967
+    {  908,  877 }, {  939,  908 }, {  413,  382 }, {  444,  413 },
968
+    {  475,  444 }, {  537,  506 }, {  568,  537 }, {  599,  568 },
969
+    {  661,  630 }, {  692,  661 }, {  723,  692 }, {  785,  754 },
970
+    {  816,  785 }, {  847,  816 }, {  909,  878 }, {  940,  909 },
971
+    {  971,  940 }, {  414,  383 }, {  445,  414 }, {  476,  445 },
972
+    {  507,  476 }, {  538,  507 }, {  569,  538 }, {  600,  569 },
973
+    {  631,  600 }, {  662,  631 }, {  693,  662 }, {  724,  693 },
974
+    {  755,  724 }, {  786,  755 }, {  817,  786 }, {  848,  817 },
975
+    {  879,  848 }, {  910,  879 }, {  941,  910 }, {  972,  941 },
976
+    { 1003,  972 }, {  446,  415 }, {  477,  446 }, {  508,  477 },
977
+    {  570,  539 }, {  601,  570 }, {  632,  601 }, {  694,  663 },
978
+    {  725,  694 }, {  756,  725 }, {  818,  787 }, {  849,  818 },
979
+    {  880,  849 }, {  942,  911 }, {  973,  942 }, { 1004,  973 },
980
+    {  478,  447 }, {  509,  478 }, {  602,  571 }, {  633,  602 },
981
+    {  726,  695 }, {  757,  726 }, {  850,  819 }, {  881,  850 },
982
+    {  974,  943 }, { 1005,  974 }, {  510,  479 }, {  634,  603 },
983
+    {  758,  727 }, {  882,  851 }, { 1006,  975 }, {  539,  508 },
984
+    {  663,  632 }, {  787,  756 }, {  911,  880 }, {  540,  509 },
985
+    {  571,  540 }, {  664,  633 }, {  695,  664 }, {  788,  757 },
986
+    {  819,  788 }, {  912,  881 }, {  943,  912 }, {  541,  510 },
987
+    {  572,  541 }, {  603,  572 }, {  665,  634 }, {  696,  665 },
988
+    {  727,  696 }, {  789,  758 }, {  820,  789 }, {  851,  820 },
989
+    {  913,  882 }, {  944,  913 }, {  975,  944 }, {  542,  511 },
990
+    {  573,  542 }, {  604,  573 }, {  635,  604 }, {  666,  635 },
991
+    {  697,  666 }, {  728,  697 }, {  759,  728 }, {  790,  759 },
992
+    {  821,  790 }, {  852,  821 }, {  883,  852 }, {  914,  883 },
993
+    {  945,  914 }, {  976,  945 }, { 1007,  976 }, {  574,  543 },
994
+    {  605,  574 }, {  636,  605 }, {  698,  667 }, {  729,  698 },
995
+    {  760,  729 }, {  822,  791 }, {  853,  822 }, {  884,  853 },
996
+    {  946,  915 }, {  977,  946 }, { 1008,  977 }, {  606,  575 },
997
+    {  637,  606 }, {  730,  699 }, {  761,  730 }, {  854,  823 },
998
+    {  885,  854 }, {  978,  947 }, { 1009,  978 }, {  638,  607 },
999
+    {  762,  731 }, {  886,  855 }, { 1010,  979 }, {  667,  636 },
1000
+    {  791,  760 }, {  915,  884 }, {  668,  637 }, {  699,  668 },
1001
+    {  792,  761 }, {  823,  792 }, {  916,  885 }, {  947,  916 },
1002
+    {  669,  638 }, {  700,  669 }, {  731,  700 }, {  793,  762 },
1003
+    {  824,  793 }, {  855,  824 }, {  917,  886 }, {  948,  917 },
1004
+    {  979,  948 }, {  670,  639 }, {  701,  670 }, {  732,  701 },
1005
+    {  763,  732 }, {  794,  763 }, {  825,  794 }, {  856,  825 },
1006
+    {  887,  856 }, {  918,  887 }, {  949,  918 }, {  980,  949 },
1007
+    { 1011,  980 }, {  702,  671 }, {  733,  702 }, {  764,  733 },
1008
+    {  826,  795 }, {  857,  826 }, {  888,  857 }, {  950,  919 },
1009
+    {  981,  950 }, { 1012,  981 }, {  734,  703 }, {  765,  734 },
1010
+    {  858,  827 }, {  889,  858 }, {  982,  951 }, { 1013,  982 },
1011
+    {  766,  735 }, {  890,  859 }, { 1014,  983 }, {  795,  764 },
1012
+    {  919,  888 }, {  796,  765 }, {  827,  796 }, {  920,  889 },
1013
+    {  951,  920 }, {  797,  766 }, {  828,  797 }, {  859,  828 },
1014
+    {  921,  890 }, {  952,  921 }, {  983,  952 }, {  798,  767 },
1015
+    {  829,  798 }, {  860,  829 }, {  891,  860 }, {  922,  891 },
1016
+    {  953,  922 }, {  984,  953 }, { 1015,  984 }, {  830,  799 },
1017
+    {  861,  830 }, {  892,  861 }, {  954,  923 }, {  985,  954 },
1018
+    { 1016,  985 }, {  862,  831 }, {  893,  862 }, {  986,  955 },
1019
+    { 1017,  986 }, {  894,  863 }, { 1018,  987 }, {  923,  892 },
1020
+    {  924,  893 }, {  955,  924 }, {  925,  894 }, {  956,  925 },
1021
+    {  987,  956 }, {  926,  895 }, {  957,  926 }, {  988,  957 },
1022
+    { 1019,  988 }, {  958,  927 }, {  989,  958 }, { 1020,  989 },
1023
+    {  990,  959 }, { 1021,  990 }, { 1022,  991 }, {    0,    0 },
1024
+};
1025
+
1026
+static const int16_t (*vp9_scans_nb[5][4])[2] = {
1027
+    {
1028
+        vp9_default_scan_4x4_nb, vp9_col_scan_4x4_nb,
1029
+        vp9_row_scan_4x4_nb, vp9_default_scan_4x4_nb
1030
+    }, {
1031
+        vp9_default_scan_8x8_nb, vp9_col_scan_8x8_nb,
1032
+        vp9_row_scan_8x8_nb, vp9_default_scan_8x8_nb
1033
+    }, {
1034
+        vp9_default_scan_16x16_nb, vp9_col_scan_16x16_nb,
1035
+        vp9_row_scan_16x16_nb, vp9_default_scan_16x16_nb
1036
+    }, {
1037
+        vp9_default_scan_32x32_nb, vp9_default_scan_32x32_nb,
1038
+        vp9_default_scan_32x32_nb, vp9_default_scan_32x32_nb
1039
+    }, { // lossless
1040
+        vp9_default_scan_4x4_nb, vp9_default_scan_4x4_nb,
1041
+        vp9_default_scan_4x4_nb, vp9_default_scan_4x4_nb
1042
+    }
1043
+};
1044
+
1045
+static const uint8_t vp9_model_pareto8[256][8] = {
1046
+    {   6,  86, 128,  11,  87,  42,  91,  52 },
1047
+    {   3,  86, 128,   6,  86,  23,  88,  29 },
1048
+    {   6,  86, 128,  11,  87,  42,  91,  52 },
1049
+    {   9,  86, 129,  17,  88,  61,  94,  76 },
1050
+    {  12,  86, 129,  22,  88,  77,  97,  93 },
1051
+    {  15,  87, 129,  28,  89,  93, 100, 110 },
1052
+    {  17,  87, 129,  33,  90, 105, 103, 123 },
1053
+    {  20,  88, 130,  38,  91, 118, 106, 136 },
1054
+    {  23,  88, 130,  43,  91, 128, 108, 146 },
1055
+    {  26,  89, 131,  48,  92, 139, 111, 156 },
1056
+    {  28,  89, 131,  53,  93, 147, 114, 163 },
1057
+    {  31,  90, 131,  58,  94, 156, 117, 171 },
1058
+    {  34,  90, 131,  62,  94, 163, 119, 177 },
1059
+    {  37,  90, 132,  66,  95, 171, 122, 184 },
1060
+    {  39,  90, 132,  70,  96, 177, 124, 189 },
1061
+    {  42,  91, 132,  75,  97, 183, 127, 194 },
1062
+    {  44,  91, 132,  79,  97, 188, 129, 198 },
1063
+    {  47,  92, 133,  83,  98, 193, 132, 202 },
1064
+    {  49,  92, 133,  86,  99, 197, 134, 205 },
1065
+    {  52,  93, 133,  90, 100, 201, 137, 208 },
1066
+    {  54,  93, 133,  94, 100, 204, 139, 211 },
1067
+    {  57,  94, 134,  98, 101, 208, 142, 214 },
1068
+    {  59,  94, 134, 101, 102, 211, 144, 216 },
1069
+    {  62,  94, 135, 105, 103, 214, 146, 218 },
1070
+    {  64,  94, 135, 108, 103, 216, 148, 220 },
1071
+    {  66,  95, 135, 111, 104, 219, 151, 222 },
1072
+    {  68,  95, 135, 114, 105, 221, 153, 223 },
1073
+    {  71,  96, 136, 117, 106, 224, 155, 225 },
1074
+    {  73,  96, 136, 120, 106, 225, 157, 226 },
1075
+    {  76,  97, 136, 123, 107, 227, 159, 228 },
1076
+    {  78,  97, 136, 126, 108, 229, 160, 229 },
1077
+    {  80,  98, 137, 129, 109, 231, 162, 231 },
1078
+    {  82,  98, 137, 131, 109, 232, 164, 232 },
1079
+    {  84,  98, 138, 134, 110, 234, 166, 233 },
1080
+    {  86,  98, 138, 137, 111, 235, 168, 234 },
1081
+    {  89,  99, 138, 140, 112, 236, 170, 235 },
1082
+    {  91,  99, 138, 142, 112, 237, 171, 235 },
1083
+    {  93, 100, 139, 145, 113, 238, 173, 236 },
1084
+    {  95, 100, 139, 147, 114, 239, 174, 237 },
1085
+    {  97, 101, 140, 149, 115, 240, 176, 238 },
1086
+    {  99, 101, 140, 151, 115, 241, 177, 238 },
1087
+    { 101, 102, 140, 154, 116, 242, 179, 239 },
1088
+    { 103, 102, 140, 156, 117, 242, 180, 239 },
1089
+    { 105, 103, 141, 158, 118, 243, 182, 240 },
1090
+    { 107, 103, 141, 160, 118, 243, 183, 240 },
1091
+    { 109, 104, 141, 162, 119, 244, 185, 241 },
1092
+    { 111, 104, 141, 164, 119, 244, 186, 241 },
1093
+    { 113, 104, 142, 166, 120, 245, 187, 242 },
1094
+    { 114, 104, 142, 168, 121, 245, 188, 242 },
1095
+    { 116, 105, 143, 170, 122, 246, 190, 243 },
1096
+    { 118, 105, 143, 171, 122, 246, 191, 243 },
1097
+    { 120, 106, 143, 173, 123, 247, 192, 244 },
1098
+    { 121, 106, 143, 175, 124, 247, 193, 244 },
1099
+    { 123, 107, 144, 177, 125, 248, 195, 244 },
1100
+    { 125, 107, 144, 178, 125, 248, 196, 244 },
1101
+    { 127, 108, 145, 180, 126, 249, 197, 245 },
1102
+    { 128, 108, 145, 181, 127, 249, 198, 245 },
1103
+    { 130, 109, 145, 183, 128, 249, 199, 245 },
1104
+    { 132, 109, 145, 184, 128, 249, 200, 245 },
1105
+    { 134, 110, 146, 186, 129, 250, 201, 246 },
1106
+    { 135, 110, 146, 187, 130, 250, 202, 246 },
1107
+    { 137, 111, 147, 189, 131, 251, 203, 246 },
1108
+    { 138, 111, 147, 190, 131, 251, 204, 246 },
1109
+    { 140, 112, 147, 192, 132, 251, 205, 247 },
1110
+    { 141, 112, 147, 193, 132, 251, 206, 247 },
1111
+    { 143, 113, 148, 194, 133, 251, 207, 247 },
1112
+    { 144, 113, 148, 195, 134, 251, 207, 247 },
1113
+    { 146, 114, 149, 197, 135, 252, 208, 248 },
1114
+    { 147, 114, 149, 198, 135, 252, 209, 248 },
1115
+    { 149, 115, 149, 199, 136, 252, 210, 248 },
1116
+    { 150, 115, 149, 200, 137, 252, 210, 248 },
1117
+    { 152, 115, 150, 201, 138, 252, 211, 248 },
1118
+    { 153, 115, 150, 202, 138, 252, 212, 248 },
1119
+    { 155, 116, 151, 204, 139, 253, 213, 249 },
1120
+    { 156, 116, 151, 205, 139, 253, 213, 249 },
1121
+    { 158, 117, 151, 206, 140, 253, 214, 249 },
1122
+    { 159, 117, 151, 207, 141, 253, 215, 249 },
1123
+    { 161, 118, 152, 208, 142, 253, 216, 249 },
1124
+    { 162, 118, 152, 209, 142, 253, 216, 249 },
1125
+    { 163, 119, 153, 210, 143, 253, 217, 249 },
1126
+    { 164, 119, 153, 211, 143, 253, 217, 249 },
1127
+    { 166, 120, 153, 212, 144, 254, 218, 250 },
1128
+    { 167, 120, 153, 212, 145, 254, 219, 250 },
1129
+    { 168, 121, 154, 213, 146, 254, 220, 250 },
1130
+    { 169, 121, 154, 214, 146, 254, 220, 250 },
1131
+    { 171, 122, 155, 215, 147, 254, 221, 250 },
1132
+    { 172, 122, 155, 216, 147, 254, 221, 250 },
1133
+    { 173, 123, 155, 217, 148, 254, 222, 250 },
1134
+    { 174, 123, 155, 217, 149, 254, 222, 250 },
1135
+    { 176, 124, 156, 218, 150, 254, 223, 250 },
1136
+    { 177, 124, 156, 219, 150, 254, 223, 250 },
1137
+    { 178, 125, 157, 220, 151, 254, 224, 251 },
1138
+    { 179, 125, 157, 220, 151, 254, 224, 251 },
1139
+    { 180, 126, 157, 221, 152, 254, 225, 251 },
1140
+    { 181, 126, 157, 221, 152, 254, 225, 251 },
1141
+    { 183, 127, 158, 222, 153, 254, 226, 251 },
1142
+    { 184, 127, 158, 223, 154, 254, 226, 251 },
1143
+    { 185, 128, 159, 224, 155, 255, 227, 251 },
1144
+    { 186, 128, 159, 224, 155, 255, 227, 251 },
1145
+    { 187, 129, 160, 225, 156, 255, 228, 251 },
1146
+    { 188, 130, 160, 225, 156, 255, 228, 251 },
1147
+    { 189, 131, 160, 226, 157, 255, 228, 251 },
1148
+    { 190, 131, 160, 226, 158, 255, 228, 251 },
1149
+    { 191, 132, 161, 227, 159, 255, 229, 251 },
1150
+    { 192, 132, 161, 227, 159, 255, 229, 251 },
1151
+    { 193, 133, 162, 228, 160, 255, 230, 252 },
1152
+    { 194, 133, 162, 229, 160, 255, 230, 252 },
1153
+    { 195, 134, 163, 230, 161, 255, 231, 252 },
1154
+    { 196, 134, 163, 230, 161, 255, 231, 252 },
1155
+    { 197, 135, 163, 231, 162, 255, 231, 252 },
1156
+    { 198, 135, 163, 231, 162, 255, 231, 252 },
1157
+    { 199, 136, 164, 232, 163, 255, 232, 252 },
1158
+    { 200, 136, 164, 232, 164, 255, 232, 252 },
1159
+    { 201, 137, 165, 233, 165, 255, 233, 252 },
1160
+    { 201, 137, 165, 233, 165, 255, 233, 252 },
1161
+    { 202, 138, 166, 233, 166, 255, 233, 252 },
1162
+    { 203, 138, 166, 233, 166, 255, 233, 252 },
1163
+    { 204, 139, 166, 234, 167, 255, 234, 252 },
1164
+    { 205, 139, 166, 234, 167, 255, 234, 252 },
1165
+    { 206, 140, 167, 235, 168, 255, 235, 252 },
1166
+    { 206, 140, 167, 235, 168, 255, 235, 252 },
1167
+    { 207, 141, 168, 236, 169, 255, 235, 252 },
1168
+    { 208, 141, 168, 236, 170, 255, 235, 252 },
1169
+    { 209, 142, 169, 237, 171, 255, 236, 252 },
1170
+    { 209, 143, 169, 237, 171, 255, 236, 252 },
1171
+    { 210, 144, 169, 237, 172, 255, 236, 252 },
1172
+    { 211, 144, 169, 237, 172, 255, 236, 252 },
1173
+    { 212, 145, 170, 238, 173, 255, 237, 252 },
1174
+    { 213, 145, 170, 238, 173, 255, 237, 252 },
1175
+    { 214, 146, 171, 239, 174, 255, 237, 253 },
1176
+    { 214, 146, 171, 239, 174, 255, 237, 253 },
1177
+    { 215, 147, 172, 240, 175, 255, 238, 253 },
1178
+    { 215, 147, 172, 240, 175, 255, 238, 253 },
1179
+    { 216, 148, 173, 240, 176, 255, 238, 253 },
1180
+    { 217, 148, 173, 240, 176, 255, 238, 253 },
1181
+    { 218, 149, 173, 241, 177, 255, 239, 253 },
1182
+    { 218, 149, 173, 241, 178, 255, 239, 253 },
1183
+    { 219, 150, 174, 241, 179, 255, 239, 253 },
1184
+    { 219, 151, 174, 241, 179, 255, 239, 253 },
1185
+    { 220, 152, 175, 242, 180, 255, 240, 253 },
1186
+    { 221, 152, 175, 242, 180, 255, 240, 253 },
1187
+    { 222, 153, 176, 242, 181, 255, 240, 253 },
1188
+    { 222, 153, 176, 242, 181, 255, 240, 253 },
1189
+    { 223, 154, 177, 243, 182, 255, 240, 253 },
1190
+    { 223, 154, 177, 243, 182, 255, 240, 253 },
1191
+    { 224, 155, 178, 244, 183, 255, 241, 253 },
1192
+    { 224, 155, 178, 244, 183, 255, 241, 253 },
1193
+    { 225, 156, 178, 244, 184, 255, 241, 253 },
1194
+    { 225, 157, 178, 244, 184, 255, 241, 253 },
1195
+    { 226, 158, 179, 244, 185, 255, 242, 253 },
1196
+    { 227, 158, 179, 244, 185, 255, 242, 253 },
1197
+    { 228, 159, 180, 245, 186, 255, 242, 253 },
1198
+    { 228, 159, 180, 245, 186, 255, 242, 253 },
1199
+    { 229, 160, 181, 245, 187, 255, 242, 253 },
1200
+    { 229, 160, 181, 245, 187, 255, 242, 253 },
1201
+    { 230, 161, 182, 246, 188, 255, 243, 253 },
1202
+    { 230, 162, 182, 246, 188, 255, 243, 253 },
1203
+    { 231, 163, 183, 246, 189, 255, 243, 253 },
1204
+    { 231, 163, 183, 246, 189, 255, 243, 253 },
1205
+    { 232, 164, 184, 247, 190, 255, 243, 253 },
1206
+    { 232, 164, 184, 247, 190, 255, 243, 253 },
1207
+    { 233, 165, 185, 247, 191, 255, 244, 253 },
1208
+    { 233, 165, 185, 247, 191, 255, 244, 253 },
1209
+    { 234, 166, 185, 247, 192, 255, 244, 253 },
1210
+    { 234, 167, 185, 247, 192, 255, 244, 253 },
1211
+    { 235, 168, 186, 248, 193, 255, 244, 253 },
1212
+    { 235, 168, 186, 248, 193, 255, 244, 253 },
1213
+    { 236, 169, 187, 248, 194, 255, 244, 253 },
1214
+    { 236, 169, 187, 248, 194, 255, 244, 253 },
1215
+    { 236, 170, 188, 248, 195, 255, 245, 253 },
1216
+    { 236, 170, 188, 248, 195, 255, 245, 253 },
1217
+    { 237, 171, 189, 249, 196, 255, 245, 254 },
1218
+    { 237, 172, 189, 249, 196, 255, 245, 254 },
1219
+    { 238, 173, 190, 249, 197, 255, 245, 254 },
1220
+    { 238, 173, 190, 249, 197, 255, 245, 254 },
1221
+    { 239, 174, 191, 249, 198, 255, 245, 254 },
1222
+    { 239, 174, 191, 249, 198, 255, 245, 254 },
1223
+    { 240, 175, 192, 249, 199, 255, 246, 254 },
1224
+    { 240, 176, 192, 249, 199, 255, 246, 254 },
1225
+    { 240, 177, 193, 250, 200, 255, 246, 254 },
1226
+    { 240, 177, 193, 250, 200, 255, 246, 254 },
1227
+    { 241, 178, 194, 250, 201, 255, 246, 254 },
1228
+    { 241, 178, 194, 250, 201, 255, 246, 254 },
1229
+    { 242, 179, 195, 250, 202, 255, 246, 254 },
1230
+    { 242, 180, 195, 250, 202, 255, 246, 254 },
1231
+    { 242, 181, 196, 250, 203, 255, 247, 254 },
1232
+    { 242, 181, 196, 250, 203, 255, 247, 254 },
1233
+    { 243, 182, 197, 251, 204, 255, 247, 254 },
1234
+    { 243, 183, 197, 251, 204, 255, 247, 254 },
1235
+    { 244, 184, 198, 251, 205, 255, 247, 254 },
1236
+    { 244, 184, 198, 251, 205, 255, 247, 254 },
1237
+    { 244, 185, 199, 251, 206, 255, 247, 254 },
1238
+    { 244, 185, 199, 251, 206, 255, 247, 254 },
1239
+    { 245, 186, 200, 251, 207, 255, 247, 254 },
1240
+    { 245, 187, 200, 251, 207, 255, 247, 254 },
1241
+    { 246, 188, 201, 252, 207, 255, 248, 254 },
1242
+    { 246, 188, 201, 252, 207, 255, 248, 254 },
1243
+    { 246, 189, 202, 252, 208, 255, 248, 254 },
1244
+    { 246, 190, 202, 252, 208, 255, 248, 254 },
1245
+    { 247, 191, 203, 252, 209, 255, 248, 254 },
1246
+    { 247, 191, 203, 252, 209, 255, 248, 254 },
1247
+    { 247, 192, 204, 252, 210, 255, 248, 254 },
1248
+    { 247, 193, 204, 252, 210, 255, 248, 254 },
1249
+    { 248, 194, 205, 252, 211, 255, 248, 254 },
1250
+    { 248, 194, 205, 252, 211, 255, 248, 254 },
1251
+    { 248, 195, 206, 252, 212, 255, 249, 254 },
1252
+    { 248, 196, 206, 252, 212, 255, 249, 254 },
1253
+    { 249, 197, 207, 253, 213, 255, 249, 254 },
1254
+    { 249, 197, 207, 253, 213, 255, 249, 254 },
1255
+    { 249, 198, 208, 253, 214, 255, 249, 254 },
1256
+    { 249, 199, 209, 253, 214, 255, 249, 254 },
1257
+    { 250, 200, 210, 253, 215, 255, 249, 254 },
1258
+    { 250, 200, 210, 253, 215, 255, 249, 254 },
1259
+    { 250, 201, 211, 253, 215, 255, 249, 254 },
1260
+    { 250, 202, 211, 253, 215, 255, 249, 254 },
1261
+    { 250, 203, 212, 253, 216, 255, 249, 254 },
1262
+    { 250, 203, 212, 253, 216, 255, 249, 254 },
1263
+    { 251, 204, 213, 253, 217, 255, 250, 254 },
1264
+    { 251, 205, 213, 253, 217, 255, 250, 254 },
1265
+    { 251, 206, 214, 254, 218, 255, 250, 254 },
1266
+    { 251, 206, 215, 254, 218, 255, 250, 254 },
1267
+    { 252, 207, 216, 254, 219, 255, 250, 254 },
1268
+    { 252, 208, 216, 254, 219, 255, 250, 254 },
1269
+    { 252, 209, 217, 254, 220, 255, 250, 254 },
1270
+    { 252, 210, 217, 254, 220, 255, 250, 254 },
1271
+    { 252, 211, 218, 254, 221, 255, 250, 254 },
1272
+    { 252, 212, 218, 254, 221, 255, 250, 254 },
1273
+    { 253, 213, 219, 254, 222, 255, 250, 254 },
1274
+    { 253, 213, 220, 254, 222, 255, 250, 254 },
1275
+    { 253, 214, 221, 254, 223, 255, 250, 254 },
1276
+    { 253, 215, 221, 254, 223, 255, 250, 254 },
1277
+    { 253, 216, 222, 254, 224, 255, 251, 254 },
1278
+    { 253, 217, 223, 254, 224, 255, 251, 254 },
1279
+    { 253, 218, 224, 254, 225, 255, 251, 254 },
1280
+    { 253, 219, 224, 254, 225, 255, 251, 254 },
1281
+    { 254, 220, 225, 254, 225, 255, 251, 254 },
1282
+    { 254, 221, 226, 254, 225, 255, 251, 254 },
1283
+    { 254, 222, 227, 255, 226, 255, 251, 254 },
1284
+    { 254, 223, 227, 255, 226, 255, 251, 254 },
1285
+    { 254, 224, 228, 255, 227, 255, 251, 254 },
1286
+    { 254, 225, 229, 255, 227, 255, 251, 254 },
1287
+    { 254, 226, 230, 255, 228, 255, 251, 254 },
1288
+    { 254, 227, 230, 255, 229, 255, 251, 254 },
1289
+    { 255, 228, 231, 255, 230, 255, 251, 254 },
1290
+    { 255, 229, 232, 255, 230, 255, 251, 254 },
1291
+    { 255, 230, 233, 255, 231, 255, 252, 254 },
1292
+    { 255, 231, 234, 255, 231, 255, 252, 254 },
1293
+    { 255, 232, 235, 255, 232, 255, 252, 254 },
1294
+    { 255, 233, 236, 255, 232, 255, 252, 254 },
1295
+    { 255, 235, 237, 255, 233, 255, 252, 254 },
1296
+    { 255, 236, 238, 255, 234, 255, 252, 254 },
1297
+    { 255, 238, 240, 255, 235, 255, 252, 255 },
1298
+    { 255, 239, 241, 255, 235, 255, 252, 254 },
1299
+    { 255, 241, 243, 255, 236, 255, 252, 254 },
1300
+    { 255, 243, 245, 255, 237, 255, 252, 254 },
1301
+    { 255, 246, 247, 255, 239, 255, 253, 255 },
1302
+};
1303
+
1304
+typedef struct {
1305
+    uint8_t y_mode[4][9];
1306
+    uint8_t uv_mode[10][9];
1307
+    uint8_t filter[4][2];
1308
+    uint8_t mv_mode[7][3];
1309
+    uint8_t intra[4];
1310
+    uint8_t comp[5];
1311
+    uint8_t single_ref[5][2];
1312
+    uint8_t comp_ref[5];
1313
+    uint8_t tx32p[2][3];
1314
+    uint8_t tx16p[2][2];
1315
+    uint8_t tx8p[2];
1316
+    uint8_t skip[3];
1317
+    uint8_t mv_joint[3];
1318
+    struct {
1319
+        uint8_t sign;
1320
+        uint8_t classes[10];
1321
+        uint8_t class0;
1322
+        uint8_t bits[10];
1323
+        uint8_t class0_fp[2][3];
1324
+        uint8_t fp[3];
1325
+        uint8_t class0_hp;
1326
+        uint8_t hp;
1327
+    } mv_comp[2];
1328
+    uint8_t partition[4][4][3];
1329
+} prob_context;
1330
+
1331
+static const prob_context vp9_default_probs = {
1332
+    { /* y_mode */
1333
+        {  65,  32,  18, 144, 162, 194,  41,  51,  98 } /* bsize < 8x8 */,
1334
+        { 132,  68,  18, 165, 217, 196,  45,  40,  78 } /* bsize < 16x16 */,
1335
+        { 173,  80,  19, 176, 240, 193,  64,  35,  46 } /* bsize < 32x32 */,
1336
+        { 221, 135,  38, 194, 248, 121,  96,  85,  29 } /* bsize >= 32x32 */
1337
+    }, { /* uv_mode */
1338
+        {  48,  12, 154, 155, 139,  90,  34, 117, 119 } /* y = v */,
1339
+        {  67,   6,  25, 204, 243, 158,  13,  21,  96 } /* y = h */,
1340
+        { 120,   7,  76, 176, 208, 126,  28,  54, 103 } /* y = dc */,
1341
+        {  97,   5,  44, 131, 176, 139,  48,  68,  97 } /* y = d45 */,
1342
+        {  83,   5,  42, 156, 111, 152,  26,  49, 152 } /* y = d135 */,
1343
+        {  80,   5,  58, 178,  74,  83,  33,  62, 145 } /* y = d117 */,
1344
+        {  86,   5,  32, 154, 192, 168,  14,  22, 163 } /* y = d153 */,
1345
+        {  77,   7,  64, 116, 132, 122,  37, 126, 120 } /* y = d63 */,
1346
+        {  85,   5,  32, 156, 216, 148,  19,  29,  73 } /* y = d27 */,
1347
+        { 101,  21, 107, 181, 192, 103,  19,  67, 125 } /* y = tm */
1348
+    }, { /* filter */
1349
+        { 235, 162, },
1350
+        {  36, 255, },
1351
+        {  34,   3, },
1352
+        { 149, 144, },
1353
+    }, { /* mv_mode */
1354
+        {  2, 173,  34},  // 0 = both zero mv
1355
+        {  7, 145,  85},  // 1 = one zero mv + one a predicted mv
1356
+        {  7, 166,  63},  // 2 = two predicted mvs
1357
+        {  7,  94,  66},  // 3 = one predicted/zero and one new mv
1358
+        {  8,  64,  46},  // 4 = two new mvs
1359
+        { 17,  81,  31},  // 5 = one intra neighbour + x
1360
+        { 25,  29,  30},  // 6 = two intra neighbours
1361
+    }, { /* intra */
1362
+        9, 102, 187, 225
1363
+    }, { /* comp */
1364
+        239, 183, 119,  96,  41
1365
+    }, { /* single_ref */
1366
+        {  33,  16 },
1367
+        {  77,  74 },
1368
+        { 142, 142 },
1369
+        { 172, 170 },
1370
+        { 238, 247 }
1371
+    }, { /* comp_ref */
1372
+        50, 126, 123, 221, 226
1373
+    }, { /* tx32p */
1374
+        { 3, 136, 37, },
1375
+        { 5,  52, 13, },
1376
+    }, { /* tx16p */
1377
+        { 20, 152, },
1378
+        { 15, 101, },
1379
+    }, { /* tx8p */
1380
+        100, 66
1381
+    }, { /* skip */
1382
+        192, 128, 64
1383
+    }, { /* mv_joint */
1384
+        32, 64, 96
1385
+    }, {
1386
+        { /* mv vertical component */
1387
+            128, /* sign */
1388
+            { 224, 144, 192, 168, 192, 176, 192, 198, 198, 245 }, /* class */
1389
+            216, /* class0 */
1390
+            { 136, 140, 148, 160, 176, 192, 224, 234, 234, 240}, /* bits */
1391
+            { /* class0_fp */
1392
+                { 128, 128, 64 },
1393
+                {  96, 112, 64 }
1394
+            },
1395
+            { 64, 96, 64 }, /* fp */
1396
+            160, /* class0_hp bit */
1397
+            128, /* hp */
1398
+        }, { /* mv horizontal component */
1399
+            128, /* sign */
1400
+            { 216, 128, 176, 160, 176, 176, 192, 198, 198, 208 }, /* class */
1401
+            208, /* class0 */
1402
+            { 136, 140, 148, 160, 176, 192, 224, 234, 234, 240 }, /* bits */
1403
+            { /* class0_fp */
1404
+                { 128, 128, 64 },
1405
+                {  96, 112, 64 }
1406
+            },
1407
+            { 64, 96, 64 }, /* fp */
1408
+            160, /* class0_hp bit */
1409
+            128, /* hp */
1410
+        }
1411
+    }, { /* partition */
1412
+        { /* 64x64 -> 32x32 */
1413
+            { 222,  34,  30 } /* a/l both not split */,
1414
+            {  72,  16,  44 } /* a split, l not split */,
1415
+            {  58,  32,  12 } /* l split, a not split */,
1416
+            {  10,   7,   6 } /* a/l both split */,
1417
+        }, { /* 32x32 -> 16x16 */
1418
+            { 177,  58,  59 } /* a/l both not split */,
1419
+            {  68,  26,  63 } /* a split, l not split */,
1420
+            {  52,  79,  25 } /* l split, a not split */,
1421
+            {  17,  14,  12 } /* a/l both split */,
1422
+        }, { /* 16x16 -> 8x8 */
1423
+            { 174,  73,  87 } /* a/l both not split */,
1424
+            {  92,  41,  83 } /* a split, l not split */,
1425
+            {  82,  99,  50 } /* l split, a not split */,
1426
+            {  53,  39,  39 } /* a/l both split */,
1427
+        }, { /* 8x8 -> 4x4 */
1428
+            { 199, 122, 141 } /* a/l both not split */,
1429
+            { 147,  63, 159 } /* a split, l not split */,
1430
+            { 148, 133, 118 } /* l split, a not split */,
1431
+            { 121, 104, 114 } /* a/l both split */,
1432
+        }
1433
+    },
1434
+};
1435
+
1436
+static const uint8_t vp9_default_coef_probs[4][2][2][6][6][3] = {
1437
+    { /* tx = 4x4 */
1438
+        { /* block Type 0 */
1439
+            { /* Intra */
1440
+                { /* Coeff Band 0 */
1441
+                    { 195,  29, 183 },
1442
+                    {  84,  49, 136 },
1443
+                    {   8,  42,  71 }
1444
+                }, { /* Coeff Band 1 */
1445
+                    {  31, 107, 169 },
1446
+                    {  35,  99, 159 },
1447
+                    {  17,  82, 140 },
1448
+                    {   8,  66, 114 },
1449
+                    {   2,  44,  76 },
1450
+                    {   1,  19,  32 }
1451
+                }, { /* Coeff Band 2 */
1452
+                    {  40, 132, 201 },
1453
+                    {  29, 114, 187 },
1454
+                    {  13,  91, 157 },
1455
+                    {   7,  75, 127 },
1456
+                    {   3,  58,  95 },
1457
+                    {   1,  28,  47 }
1458
+                }, { /* Coeff Band 3 */
1459
+                    {  69, 142, 221 },
1460
+                    {  42, 122, 201 },
1461
+                    {  15,  91, 159 },
1462
+                    {   6,  67, 121 },
1463
+                    {   1,  42,  77 },
1464
+                    {   1,  17,  31 }
1465
+                }, { /* Coeff Band 4 */
1466
+                    { 102, 148, 228 },
1467
+                    {  67, 117, 204 },
1468
+                    {  17,  82, 154 },
1469
+                    {   6,  59, 114 },
1470
+                    {   2,  39,  75 },
1471
+                    {   1,  15,  29 }
1472
+                }, { /* Coeff Band 5 */
1473
+                    { 156,  57, 233 },
1474
+                    { 119,  57, 212 },
1475
+                    {  58,  48, 163 },
1476
+                    {  29,  40, 124 },
1477
+                    {  12,  30,  81 },
1478
+                    {   3,  12,  31 }
1479
+                }
1480
+            }, { /* Inter */
1481
+                { /* Coeff Band 0 */
1482
+                    { 191, 107, 226 },
1483
+                    { 124, 117, 204 },
1484
+                    {  25,  99, 155 }
1485
+                }, { /* Coeff Band 1 */
1486
+                    {  29, 148, 210 },
1487
+                    {  37, 126, 194 },
1488
+                    {   8,  93, 157 },
1489
+                    {   2,  68, 118 },
1490
+                    {   1,  39,  69 },
1491
+                    {   1,  17,  33 }
1492
+                }, { /* Coeff Band 2 */
1493
+                    {  41, 151, 213 },
1494
+                    {  27, 123, 193 },
1495
+                    {   3,  82, 144 },
1496
+                    {   1,  58, 105 },
1497
+                    {   1,  32,  60 },
1498
+                    {   1,  13,  26 }
1499
+                }, { /* Coeff Band 3 */
1500
+                    {  59, 159, 220 },
1501
+                    {  23, 126, 198 },
1502
+                    {   4,  88, 151 },
1503
+                    {   1,  66, 114 },
1504
+                    {   1,  38,  71 },
1505
+                    {   1,  18,  34 }
1506
+                }, { /* Coeff Band 4 */
1507
+                    { 114, 136, 232 },
1508
+                    {  51, 114, 207 },
1509
+                    {  11,  83, 155 },
1510
+                    {   3,  56, 105 },
1511
+                    {   1,  33,  65 },
1512
+                    {   1,  17,  34 }
1513
+                }, { /* Coeff Band 5 */
1514
+                    { 149,  65, 234 },
1515
+                    { 121,  57, 215 },
1516
+                    {  61,  49, 166 },
1517
+                    {  28,  36, 114 },
1518
+                    {  12,  25,  76 },
1519
+                    {   3,  16,  42 }
1520
+                }
1521
+            }
1522
+        }, { /* block Type 1 */
1523
+            { /* Intra */
1524
+                { /* Coeff Band 0 */
1525
+                    { 214,  49, 220 },
1526
+                    { 132,  63, 188 },
1527
+                    {  42,  65, 137 }
1528
+                }, { /* Coeff Band 1 */
1529
+                    {  85, 137, 221 },
1530
+                    { 104, 131, 216 },
1531
+                    {  49, 111, 192 },
1532
+                    {  21,  87, 155 },
1533
+                    {   2,  49,  87 },
1534
+                    {   1,  16,  28 }
1535
+                }, { /* Coeff Band 2 */
1536
+                    {  89, 163, 230 },
1537
+                    {  90, 137, 220 },
1538
+                    {  29, 100, 183 },
1539
+                    {  10,  70, 135 },
1540
+                    {   2,  42,  81 },
1541
+                    {   1,  17,  33 }
1542
+                }, { /* Coeff Band 3 */
1543
+                    { 108, 167, 237 },
1544
+                    {  55, 133, 222 },
1545
+                    {  15,  97, 179 },
1546
+                    {   4,  72, 135 },
1547
+                    {   1,  45,  85 },
1548
+                    {   1,  19,  38 }
1549
+                }, { /* Coeff Band 4 */
1550
+                    { 124, 146, 240 },
1551
+                    {  66, 124, 224 },
1552
+                    {  17,  88, 175 },
1553
+                    {   4,  58, 122 },
1554
+                    {   1,  36,  75 },
1555
+                    {   1,  18,  37 }
1556
+                }, { /* Coeff Band 5 */
1557
+                    { 141,  79, 241 },
1558
+                    { 126,  70, 227 },
1559
+                    {  66,  58, 182 },
1560
+                    {  30,  44, 136 },
1561
+                    {  12,  34,  96 },
1562
+                    {   2,  20,  47 }
1563
+                }
1564
+            }, { /* Inter */
1565
+                { /* Coeff Band 0 */
1566
+                    { 229,  99, 249 },
1567
+                    { 143, 111, 235 },
1568
+                    {  46, 109, 192 }
1569
+                }, { /* Coeff Band 1 */
1570
+                    {  82, 158, 236 },
1571
+                    {  94, 146, 224 },
1572
+                    {  25, 117, 191 },
1573
+                    {   9,  87, 149 },
1574
+                    {   3,  56,  99 },
1575
+                    {   1,  33,  57 }
1576
+                }, { /* Coeff Band 2 */
1577
+                    {  83, 167, 237 },
1578
+                    {  68, 145, 222 },
1579
+                    {  10, 103, 177 },
1580
+                    {   2,  72, 131 },
1581
+                    {   1,  41,  79 },
1582
+                    {   1,  20,  39 }
1583
+                }, { /* Coeff Band 3 */
1584
+                    {  99, 167, 239 },
1585
+                    {  47, 141, 224 },
1586
+                    {  10, 104, 178 },
1587
+                    {   2,  73, 133 },
1588
+                    {   1,  44,  85 },
1589
+                    {   1,  22,  47 }
1590
+                }, { /* Coeff Band 4 */
1591
+                    { 127, 145, 243 },
1592
+                    {  71, 129, 228 },
1593
+                    {  17,  93, 177 },
1594
+                    {   3,  61, 124 },
1595
+                    {   1,  41,  84 },
1596
+                    {   1,  21,  52 }
1597
+                }, { /* Coeff Band 5 */
1598
+                    { 157,  78, 244 },
1599
+                    { 140,  72, 231 },
1600
+                    {  69,  58, 184 },
1601
+                    {  31,  44, 137 },
1602
+                    {  14,  38, 105 },
1603
+                    {   8,  23,  61 }
1604
+                }
1605
+            }
1606
+        }
1607
+    }, { /* tx = 8x8 */
1608
+        { /* block Type 0 */
1609
+            { /* Intra */
1610
+                { /* Coeff Band 0 */
1611
+                    { 125,  34, 187 },
1612
+                    {  52,  41, 133 },
1613
+                    {   6,  31,  56 }
1614
+                }, { /* Coeff Band 1 */
1615
+                    {  37, 109, 153 },
1616
+                    {  51, 102, 147 },
1617
+                    {  23,  87, 128 },
1618
+                    {   8,  67, 101 },
1619
+                    {   1,  41,  63 },
1620
+                    {   1,  19,  29 }
1621
+                }, { /* Coeff Band 2 */
1622
+                    {  31, 154, 185 },
1623
+                    {  17, 127, 175 },
1624
+                    {   6,  96, 145 },
1625
+                    {   2,  73, 114 },
1626
+                    {   1,  51,  82 },
1627
+                    {   1,  28,  45 }
1628
+                }, { /* Coeff Band 3 */
1629
+                    {  23, 163, 200 },
1630
+                    {  10, 131, 185 },
1631
+                    {   2,  93, 148 },
1632
+                    {   1,  67, 111 },
1633
+                    {   1,  41,  69 },
1634
+                    {   1,  14,  24 }
1635
+                }, { /* Coeff Band 4 */
1636
+                    {  29, 176, 217 },
1637
+                    {  12, 145, 201 },
1638
+                    {   3, 101, 156 },
1639
+                    {   1,  69, 111 },
1640
+                    {   1,  39,  63 },
1641
+                    {   1,  14,  23 }
1642
+                }, { /* Coeff Band 5 */
1643
+                    {  57, 192, 233 },
1644
+                    {  25, 154, 215 },
1645
+                    {   6, 109, 167 },
1646
+                    {   3,  78, 118 },
1647
+                    {   1,  48,  69 },
1648
+                    {   1,  21,  29 }
1649
+                }
1650
+            }, { /* Inter */
1651
+                { /* Coeff Band 0 */
1652
+                    { 202, 105, 245 },
1653
+                    { 108, 106, 216 },
1654
+                    {  18,  90, 144 }
1655
+                }, { /* Coeff Band 1 */
1656
+                    {  33, 172, 219 },
1657
+                    {  64, 149, 206 },
1658
+                    {  14, 117, 177 },
1659
+                    {   5,  90, 141 },
1660
+                    {   2,  61,  95 },
1661
+                    {   1,  37,  57 }
1662
+                }, { /* Coeff Band 2 */
1663
+                    {  33, 179, 220 },
1664
+                    {  11, 140, 198 },
1665
+                    {   1,  89, 148 },
1666
+                    {   1,  60, 104 },
1667
+                    {   1,  33,  57 },
1668
+                    {   1,  12,  21 }
1669
+                }, { /* Coeff Band 3 */
1670
+                    {  30, 181, 221 },
1671
+                    {   8, 141, 198 },
1672
+                    {   1,  87, 145 },
1673
+                    {   1,  58, 100 },
1674
+                    {   1,  31,  55 },
1675
+                    {   1,  12,  20 }
1676
+                }, { /* Coeff Band 4 */
1677
+                    {  32, 186, 224 },
1678
+                    {   7, 142, 198 },
1679
+                    {   1,  86, 143 },
1680
+                    {   1,  58, 100 },
1681
+                    {   1,  31,  55 },
1682
+                    {   1,  12,  22 }
1683
+                }, { /* Coeff Band 5 */
1684
+                    {  57, 192, 227 },
1685
+                    {  20, 143, 204 },
1686
+                    {   3,  96, 154 },
1687
+                    {   1,  68, 112 },
1688
+                    {   1,  42,  69 },
1689
+                    {   1,  19,  32 }
1690
+                }
1691
+            }
1692
+        }, { /* block Type 1 */
1693
+            { /* Intra */
1694
+                { /* Coeff Band 0 */
1695
+                    { 212,  35, 215 },
1696
+                    { 113,  47, 169 },
1697
+                    {  29,  48, 105 }
1698
+                }, { /* Coeff Band 1 */
1699
+                    {  74, 129, 203 },
1700
+                    { 106, 120, 203 },
1701
+                    {  49, 107, 178 },
1702
+                    {  19,  84, 144 },
1703
+                    {   4,  50,  84 },
1704
+                    {   1,  15,  25 }
1705
+                }, { /* Coeff Band 2 */
1706
+                    {  71, 172, 217 },
1707
+                    {  44, 141, 209 },
1708
+                    {  15, 102, 173 },
1709
+                    {   6,  76, 133 },
1710
+                    {   2,  51,  89 },
1711
+                    {   1,  24,  42 }
1712
+                }, { /* Coeff Band 3 */
1713
+                    {  64, 185, 231 },
1714
+                    {  31, 148, 216 },
1715
+                    {   8, 103, 175 },
1716
+                    {   3,  74, 131 },
1717
+                    {   1,  46,  81 },
1718
+                    {   1,  18,  30 }
1719
+                }, { /* Coeff Band 4 */
1720
+                    {  65, 196, 235 },
1721
+                    {  25, 157, 221 },
1722
+                    {   5, 105, 174 },
1723
+                    {   1,  67, 120 },
1724
+                    {   1,  38,  69 },
1725
+                    {   1,  15,  30 }
1726
+                }, { /* Coeff Band 5 */
1727
+                    {  65, 204, 238 },
1728
+                    {  30, 156, 224 },
1729
+                    {   7, 107, 177 },
1730
+                    {   2,  70, 124 },
1731
+                    {   1,  42,  73 },
1732
+                    {   1,  18,  34 }
1733
+                }
1734
+            }, { /* Inter */
1735
+                { /* Coeff Band 0 */
1736
+                    { 225,  86, 251 },
1737
+                    { 144, 104, 235 },
1738
+                    {  42,  99, 181 }
1739
+                }, { /* Coeff Band 1 */
1740
+                    {  85, 175, 239 },
1741
+                    { 112, 165, 229 },
1742
+                    {  29, 136, 200 },
1743
+                    {  12, 103, 162 },
1744
+                    {   6,  77, 123 },
1745
+                    {   2,  53,  84 }
1746
+                }, { /* Coeff Band 2 */
1747
+                    {  75, 183, 239 },
1748
+                    {  30, 155, 221 },
1749
+                    {   3, 106, 171 },
1750
+                    {   1,  74, 128 },
1751
+                    {   1,  44,  76 },
1752
+                    {   1,  17,  28 }
1753
+                }, { /* Coeff Band 3 */
1754
+                    {  73, 185, 240 },
1755
+                    {  27, 159, 222 },
1756
+                    {   2, 107, 172 },
1757
+                    {   1,  75, 127 },
1758
+                    {   1,  42,  73 },
1759
+                    {   1,  17,  29 }
1760
+                }, { /* Coeff Band 4 */
1761
+                    {  62, 190, 238 },
1762
+                    {  21, 159, 222 },
1763
+                    {   2, 107, 172 },
1764
+                    {   1,  72, 122 },
1765
+                    {   1,  40,  71 },
1766
+                    {   1,  18,  32 }
1767
+                }, { /* Coeff Band 5 */
1768
+                    {  61, 199, 240 },
1769
+                    {  27, 161, 226 },
1770
+                    {   4, 113, 180 },
1771
+                    {   1,  76, 129 },
1772
+                    {   1,  46,  80 },
1773
+                    {   1,  23,  41 }
1774
+                }
1775
+            }
1776
+        }
1777
+    }, { /* tx = 16x16 */
1778
+        { /* block Type 0 */
1779
+            { /* Intra */
1780
+                { /* Coeff Band 0 */
1781
+                    {   7,  27, 153 },
1782
+                    {   5,  30,  95 },
1783
+                    {   1,  16,  30 }
1784
+                }, { /* Coeff Band 1 */
1785
+                    {  50,  75, 127 },
1786
+                    {  57,  75, 124 },
1787
+                    {  27,  67, 108 },
1788
+                    {  10,  54,  86 },
1789
+                    {   1,  33,  52 },
1790
+                    {   1,  12,  18 }
1791
+                }, { /* Coeff Band 2 */
1792
+                    {  43, 125, 151 },
1793
+                    {  26, 108, 148 },
1794
+                    {   7,  83, 122 },
1795
+                    {   2,  59,  89 },
1796
+                    {   1,  38,  60 },
1797
+                    {   1,  17,  27 }
1798
+                }, { /* Coeff Band 3 */
1799
+                    {  23, 144, 163 },
1800
+                    {  13, 112, 154 },
1801
+                    {   2,  75, 117 },
1802
+                    {   1,  50,  81 },
1803
+                    {   1,  31,  51 },
1804
+                    {   1,  14,  23 }
1805
+                }, { /* Coeff Band 4 */
1806
+                    {  18, 162, 185 },
1807
+                    {   6, 123, 171 },
1808
+                    {   1,  78, 125 },
1809
+                    {   1,  51,  86 },
1810
+                    {   1,  31,  54 },
1811
+                    {   1,  14,  23 }
1812
+                }, { /* Coeff Band 5 */
1813
+                    {  15, 199, 227 },
1814
+                    {   3, 150, 204 },
1815
+                    {   1,  91, 146 },
1816
+                    {   1,  55,  95 },
1817
+                    {   1,  30,  53 },
1818
+                    {   1,  11,  20 }
1819
+                }
1820
+            }, { /* Inter */
1821
+                { /* Coeff Band 0 */
1822
+                    {  19,  55, 240 },
1823
+                    {  19,  59, 196 },
1824
+                    {   3,  52, 105 }
1825
+                }, { /* Coeff Band 1 */
1826
+                    {  41, 166, 207 },
1827
+                    { 104, 153, 199 },
1828
+                    {  31, 123, 181 },
1829
+                    {  14, 101, 152 },
1830
+                    {   5,  72, 106 },
1831
+                    {   1,  36,  52 }
1832
+                }, { /* Coeff Band 2 */
1833
+                    {  35, 176, 211 },
1834
+                    {  12, 131, 190 },
1835
+                    {   2,  88, 144 },
1836
+                    {   1,  60, 101 },
1837
+                    {   1,  36,  60 },
1838
+                    {   1,  16,  28 }
1839
+                }, { /* Coeff Band 3 */
1840
+                    {  28, 183, 213 },
1841
+                    {   8, 134, 191 },
1842
+                    {   1,  86, 142 },
1843
+                    {   1,  56,  96 },
1844
+                    {   1,  30,  53 },
1845
+                    {   1,  12,  20 }
1846
+                }, { /* Coeff Band 4 */
1847
+                    {  20, 190, 215 },
1848
+                    {   4, 135, 192 },
1849
+                    {   1,  84, 139 },
1850
+                    {   1,  53,  91 },
1851
+                    {   1,  28,  49 },
1852
+                    {   1,  11,  20 }
1853
+                }, { /* Coeff Band 5 */
1854
+                    {  13, 196, 216 },
1855
+                    {   2, 137, 192 },
1856
+                    {   1,  86, 143 },
1857
+                    {   1,  57,  99 },
1858
+                    {   1,  32,  56 },
1859
+                    {   1,  13,  24 }
1860
+                }
1861
+            }
1862
+        }, { /* block Type 1 */
1863
+            { /* Intra */
1864
+                { /* Coeff Band 0 */
1865
+                    { 211,  29, 217 },
1866
+                    {  96,  47, 156 },
1867
+                    {  22,  43,  87 }
1868
+                }, { /* Coeff Band 1 */
1869
+                    {  78, 120, 193 },
1870
+                    { 111, 116, 186 },
1871
+                    {  46, 102, 164 },
1872
+                    {  15,  80, 128 },
1873
+                    {   2,  49,  76 },
1874
+                    {   1,  18,  28 }
1875
+                }, { /* Coeff Band 2 */
1876
+                    {  71, 161, 203 },
1877
+                    {  42, 132, 192 },
1878
+                    {  10,  98, 150 },
1879
+                    {   3,  69, 109 },
1880
+                    {   1,  44,  70 },
1881
+                    {   1,  18,  29 }
1882
+                }, { /* Coeff Band 3 */
1883
+                    {  57, 186, 211 },
1884
+                    {  30, 140, 196 },
1885
+                    {   4,  93, 146 },
1886
+                    {   1,  62, 102 },
1887
+                    {   1,  38,  65 },
1888
+                    {   1,  16,  27 }
1889
+                }, { /* Coeff Band 4 */
1890
+                    {  47, 199, 217 },
1891
+                    {  14, 145, 196 },
1892
+                    {   1,  88, 142 },
1893
+                    {   1,  57,  98 },
1894
+                    {   1,  36,  62 },
1895
+                    {   1,  15,  26 }
1896
+                }, { /* Coeff Band 5 */
1897
+                    {  26, 219, 229 },
1898
+                    {   5, 155, 207 },
1899
+                    {   1,  94, 151 },
1900
+                    {   1,  60, 104 },
1901
+                    {   1,  36,  62 },
1902
+                    {   1,  16,  28 }
1903
+                }
1904
+            }, { /* Inter */
1905
+                { /* Coeff Band 0 */
1906
+                    { 233,  29, 248 },
1907
+                    { 146,  47, 220 },
1908
+                    {  43,  52, 140 }
1909
+                }, { /* Coeff Band 1 */
1910
+                    { 100, 163, 232 },
1911
+                    { 179, 161, 222 },
1912
+                    {  63, 142, 204 },
1913
+                    {  37, 113, 174 },
1914
+                    {  26,  89, 137 },
1915
+                    {  18,  68,  97 }
1916
+                }, { /* Coeff Band 2 */
1917
+                    {  85, 181, 230 },
1918
+                    {  32, 146, 209 },
1919
+                    {   7, 100, 164 },
1920
+                    {   3,  71, 121 },
1921
+                    {   1,  45,  77 },
1922
+                    {   1,  18,  30 }
1923
+                }, { /* Coeff Band 3 */
1924
+                    {  65, 187, 230 },
1925
+                    {  20, 148, 207 },
1926
+                    {   2,  97, 159 },
1927
+                    {   1,  68, 116 },
1928
+                    {   1,  40,  70 },
1929
+                    {   1,  14,  29 }
1930
+                }, { /* Coeff Band 4 */
1931
+                    {  40, 194, 227 },
1932
+                    {   8, 147, 204 },
1933
+                    {   1,  94, 155 },
1934
+                    {   1,  65, 112 },
1935
+                    {   1,  39,  66 },
1936
+                    {   1,  14,  26 }
1937
+                }, { /* Coeff Band 5 */
1938
+                    {  16, 208, 228 },
1939
+                    {   3, 151, 207 },
1940
+                    {   1,  98, 160 },
1941
+                    {   1,  67, 117 },
1942
+                    {   1,  41,  74 },
1943
+                    {   1,  17,  31 }
1944
+                }
1945
+            }
1946
+        }
1947
+    }, { /* tx = 32x32 */
1948
+        { /* block Type 0 */
1949
+            { /* Intra */
1950
+                { /* Coeff Band 0 */
1951
+                    {  17,  38, 140 },
1952
+                    {   7,  34,  80 },
1953
+                    {   1,  17,  29 }
1954
+                }, { /* Coeff Band 1 */
1955
+                    {  37,  75, 128 },
1956
+                    {  41,  76, 128 },
1957
+                    {  26,  66, 116 },
1958
+                    {  12,  52,  94 },
1959
+                    {   2,  32,  55 },
1960
+                    {   1,  10,  16 }
1961
+                }, { /* Coeff Band 2 */
1962
+                    {  50, 127, 154 },
1963
+                    {  37, 109, 152 },
1964
+                    {  16,  82, 121 },
1965
+                    {   5,  59,  85 },
1966
+                    {   1,  35,  54 },
1967
+                    {   1,  13,  20 }
1968
+                }, { /* Coeff Band 3 */
1969
+                    {  40, 142, 167 },
1970
+                    {  17, 110, 157 },
1971
+                    {   2,  71, 112 },
1972
+                    {   1,  44,  72 },
1973
+                    {   1,  27,  45 },
1974
+                    {   1,  11,  17 }
1975
+                }, { /* Coeff Band 4 */
1976
+                    {  30, 175, 188 },
1977
+                    {   9, 124, 169 },
1978
+                    {   1,  74, 116 },
1979
+                    {   1,  48,  78 },
1980
+                    {   1,  30,  49 },
1981
+                    {   1,  11,  18 }
1982
+                }, { /* Coeff Band 5 */
1983
+                    {  10, 222, 223 },
1984
+                    {   2, 150, 194 },
1985
+                    {   1,  83, 128 },
1986
+                    {   1,  48,  79 },
1987
+                    {   1,  27,  45 },
1988
+                    {   1,  11,  17 }
1989
+                }
1990
+            }, { /* Inter */
1991
+                { /* Coeff Band 0 */
1992
+                    {  36,  41, 235 },
1993
+                    {  29,  36, 193 },
1994
+                    {  10,  27, 111 }
1995
+                }, { /* Coeff Band 1 */
1996
+                    {  85, 165, 222 },
1997
+                    { 177, 162, 215 },
1998
+                    { 110, 135, 195 },
1999
+                    {  57, 113, 168 },
2000
+                    {  23,  83, 120 },
2001
+                    {  10,  49,  61 }
2002
+                }, { /* Coeff Band 2 */
2003
+                    {  85, 190, 223 },
2004
+                    {  36, 139, 200 },
2005
+                    {   5,  90, 146 },
2006
+                    {   1,  60, 103 },
2007
+                    {   1,  38,  65 },
2008
+                    {   1,  18,  30 }
2009
+                }, { /* Coeff Band 3 */
2010
+                    {  72, 202, 223 },
2011
+                    {  23, 141, 199 },
2012
+                    {   2,  86, 140 },
2013
+                    {   1,  56,  97 },
2014
+                    {   1,  36,  61 },
2015
+                    {   1,  16,  27 }
2016
+                }, { /* Coeff Band 4 */
2017
+                    {  55, 218, 225 },
2018
+                    {  13, 145, 200 },
2019
+                    {   1,  86, 141 },
2020
+                    {   1,  57,  99 },
2021
+                    {   1,  35,  61 },
2022
+                    {   1,  13,  22 }
2023
+                }, { /* Coeff Band 5 */
2024
+                    {  15, 235, 212 },
2025
+                    {   1, 132, 184 },
2026
+                    {   1,  84, 139 },
2027
+                    {   1,  57,  97 },
2028
+                    {   1,  34,  56 },
2029
+                    {   1,  14,  23 }
2030
+                }
2031
+            }
2032
+        }, { /* block Type 1 */
2033
+            { /* Intra */
2034
+                { /* Coeff Band 0 */
2035
+                    { 181,  21, 201 },
2036
+                    {  61,  37, 123 },
2037
+                    {  10,  38,  71 }
2038
+                }, { /* Coeff Band 1 */
2039
+                    {  47, 106, 172 },
2040
+                    {  95, 104, 173 },
2041
+                    {  42,  93, 159 },
2042
+                    {  18,  77, 131 },
2043
+                    {   4,  50,  81 },
2044
+                    {   1,  17,  23 }
2045
+                }, { /* Coeff Band 2 */
2046
+                    {  62, 147, 199 },
2047
+                    {  44, 130, 189 },
2048
+                    {  28, 102, 154 },
2049
+                    {  18,  75, 115 },
2050
+                    {   2,  44,  65 },
2051
+                    {   1,  12,  19 }
2052
+                }, { /* Coeff Band 3 */
2053
+                    {  55, 153, 210 },
2054
+                    {  24, 130, 194 },
2055
+                    {   3,  93, 146 },
2056
+                    {   1,  61,  97 },
2057
+                    {   1,  31,  50 },
2058
+                    {   1,  10,  16 }
2059
+                }, { /* Coeff Band 4 */
2060
+                    {  49, 186, 223 },
2061
+                    {  17, 148, 204 },
2062
+                    {   1,  96, 142 },
2063
+                    {   1,  53,  83 },
2064
+                    {   1,  26,  44 },
2065
+                    {   1,  11,  17 }
2066
+                }, { /* Coeff Band 5 */
2067
+                    {  13, 217, 212 },
2068
+                    {   2, 136, 180 },
2069
+                    {   1,  78, 124 },
2070
+                    {   1,  50,  83 },
2071
+                    {   1,  29,  49 },
2072
+                    {   1,  14,  23 }
2073
+                }
2074
+            }, { /* Inter */
2075
+                { /* Coeff Band 0 */
2076
+                    { 197,  13, 247 },
2077
+                    {  82,  17, 222 },
2078
+                    {  25,  17, 162 }
2079
+                }, { /* Coeff Band 1 */
2080
+                    { 126, 186, 247 },
2081
+                    { 234, 191, 243 },
2082
+                    { 176, 177, 234 },
2083
+                    { 104, 158, 220 },
2084
+                    {  66, 128, 186 },
2085
+                    {  55,  90, 137 }
2086
+                }, { /* Coeff Band 2 */
2087
+                    { 111, 197, 242 },
2088
+                    {  46, 158, 219 },
2089
+                    {   9, 104, 171 },
2090
+                    {   2,  65, 125 },
2091
+                    {   1,  44,  80 },
2092
+                    {   1,  17,  91 }
2093
+                }, { /* Coeff Band 3 */
2094
+                    { 104, 208, 245 },
2095
+                    {  39, 168, 224 },
2096
+                    {   3, 109, 162 },
2097
+                    {   1,  79, 124 },
2098
+                    {   1,  50, 102 },
2099
+                    {   1,  43, 102 }
2100
+                }, { /* Coeff Band 4 */
2101
+                    {  84, 220, 246 },
2102
+                    {  31, 177, 231 },
2103
+                    {   2, 115, 180 },
2104
+                    {   1,  79, 134 },
2105
+                    {   1,  55,  77 },
2106
+                    {   1,  60,  79 }
2107
+                }, { /* Coeff Band 5 */
2108
+                    {  43, 243, 240 },
2109
+                    {   8, 180, 217 },
2110
+                    {   1, 115, 166 },
2111
+                    {   1,  84, 121 },
2112
+                    {   1,  51,  67 },
2113
+                    {   1,  16,   6 }
2114
+                }
2115
+            }
2116
+        }
2117
+    }
2118
+};
2119
+
2120
+enum MVJoint {
2121
+    MV_JOINT_ZERO,
2122
+    MV_JOINT_H,
2123
+    MV_JOINT_V,
2124
+    MV_JOINT_HV,
2125
+};
2126
+
2127
+static const int8_t vp9_mv_joint_tree[3][2] = {
2128
+    { -MV_JOINT_ZERO, 1 },           // '0'
2129
+     { -MV_JOINT_H, 2 },             // '10'
2130
+      { -MV_JOINT_V, -MV_JOINT_HV }, // '11x'
2131
+};
2132
+
2133
+static const int8_t vp9_mv_class_tree[10][2] = {
2134
+    { -0, 1 },         // '0'
2135
+     { -1, 2 },        // '10'
2136
+      { 3, 4 },
2137
+       { -2, -3 },     // '110x'
2138
+       { 5, 6 },
2139
+        { -4, -5 },    // '1110x'
2140
+        { -6, 7 },     // '11110'
2141
+         { 8, 9 },
2142
+          { -7, -8 },  // '111110x'
2143
+          { -9, -10 }, // '111111x'
2144
+};
2145
+
2146
+static const int8_t vp9_mv_fp_tree[3][2] = {
2147
+    { -0, 1 },    // '0'
2148
+     { -1, 2 },   // '10'
2149
+      { -2, -3 }, // '11x'
2150
+};
2151
+
2152
+#endif /* AVCODEC_VP9DATA_H */
0 2153
new file mode 100644
... ...
@@ -0,0 +1,2047 @@
0
+/*
1
+ * VP9 compatible video decoder
2
+ *
3
+ * Copyright (C) 2013 Ronald S. Bultje <rsbultje gmail com>
4
+ * Copyright (C) 2013 Clément Bœsch <u pkh me>
5
+ *
6
+ * This file is part of FFmpeg.
7
+ *
8
+ * FFmpeg is free software; you can redistribute it and/or
9
+ * modify it under the terms of the GNU Lesser General Public
10
+ * License as published by the Free Software Foundation; either
11
+ * version 2.1 of the License, or (at your option) any later version.
12
+ *
13
+ * FFmpeg is distributed in the hope that it will be useful,
14
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
15
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
16
+ * Lesser General Public License for more details.
17
+ *
18
+ * You should have received a copy of the GNU Lesser General Public
19
+ * License along with FFmpeg; if not, write to the Free Software
20
+ * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
21
+ */
22
+
23
+#include "libavutil/common.h"
24
+#include "libavutil/intreadwrite.h"
25
+#include "vp9dsp.h"
26
+#include "rnd_avg.h"
27
+
28
+// FIXME see whether we can merge parts of this (perhaps at least 4x4 and 8x8)
29
+// back with h264pred.[ch]
30
+
31
+static void vert_4x4_c(uint8_t *dst, ptrdiff_t stride,
32
+                       const uint8_t *left, const uint8_t *top)
33
+{
34
+    unsigned p4 = AV_RN32A(top);
35
+
36
+    AV_WN32A(dst + stride * 0, p4);
37
+    AV_WN32A(dst + stride * 1, p4);
38
+    AV_WN32A(dst + stride * 2, p4);
39
+    AV_WN32A(dst + stride * 3, p4);
40
+}
41
+
42
+static void vert_8x8_c(uint8_t *dst, ptrdiff_t stride,
43
+                       const uint8_t *left, const uint8_t *top)
44
+{
45
+    uint64_t p8 = AV_RN64A(top);
46
+    int y;
47
+
48
+    for (y = 0; y < 8; y++) {
49
+        AV_WN64A(dst, p8);
50
+        dst += stride;
51
+    }
52
+}
53
+
54
+static void vert_16x16_c(uint8_t *dst, ptrdiff_t stride,
55
+                         const uint8_t *left, const uint8_t *top)
56
+{
57
+    uint64_t p8a = AV_RN64A(top + 0), p8b = AV_RN64A(top + 8);
58
+    int y;
59
+
60
+    for (y = 0; y < 16; y++) {
61
+        AV_WN64A(dst + 0, p8a);
62
+        AV_WN64A(dst + 8, p8b);
63
+        dst += stride;
64
+    }
65
+}
66
+
67
+static void vert_32x32_c(uint8_t *dst, ptrdiff_t stride,
68
+                         const uint8_t *left, const uint8_t *top)
69
+{
70
+    uint64_t p8a = AV_RN64A(top + 0),  p8b = AV_RN64A(top + 8),
71
+             p8c = AV_RN64A(top + 16), p8d = AV_RN64A(top + 24);
72
+    int y;
73
+
74
+    for (y = 0; y < 32; y++) {
75
+        AV_WN64A(dst +  0, p8a);
76
+        AV_WN64A(dst +  8, p8b);
77
+        AV_WN64A(dst + 16, p8c);
78
+        AV_WN64A(dst + 24, p8d);
79
+        dst += stride;
80
+    }
81
+}
82
+
83
+static void hor_4x4_c(uint8_t *dst, ptrdiff_t stride,
84
+                      const uint8_t *left, const uint8_t *top)
85
+{
86
+    AV_WN32A(dst + stride * 0, left[0] * 0x01010101U);
87
+    AV_WN32A(dst + stride * 1, left[1] * 0x01010101U);
88
+    AV_WN32A(dst + stride * 2, left[2] * 0x01010101U);
89
+    AV_WN32A(dst + stride * 3, left[3] * 0x01010101U);
90
+}
91
+
92
+static void hor_8x8_c(uint8_t *dst, ptrdiff_t stride,
93
+                      const uint8_t *left, const uint8_t *top)
94
+{
95
+    int y;
96
+
97
+    for (y = 0; y < 8; y++) {
98
+        AV_WN64A(dst, left[y] * 0x0101010101010101ULL);
99
+        dst += stride;
100
+    }
101
+}
102
+
103
+static void hor_16x16_c(uint8_t *dst, ptrdiff_t stride,
104
+                        const uint8_t *left, const uint8_t *top)
105
+{
106
+    int y;
107
+
108
+    for (y = 0; y < 16; y++) {
109
+        uint64_t p8 = left[y] * 0x0101010101010101ULL;
110
+
111
+        AV_WN64A(dst + 0, p8);
112
+        AV_WN64A(dst + 8, p8);
113
+        dst += stride;
114
+    }
115
+}
116
+
117
+static void hor_32x32_c(uint8_t *dst, ptrdiff_t stride,
118
+                        const uint8_t *left, const uint8_t *top)
119
+{
120
+    int y;
121
+
122
+    for (y = 0; y < 32; y++) {
123
+        uint64_t p8 = left[y] * 0x0101010101010101ULL;
124
+
125
+        AV_WN64A(dst +  0, p8);
126
+        AV_WN64A(dst +  8, p8);
127
+        AV_WN64A(dst + 16, p8);
128
+        AV_WN64A(dst + 24, p8);
129
+        dst += stride;
130
+    }
131
+}
132
+
133
+static void tm_4x4_c(uint8_t *dst, ptrdiff_t stride,
134
+                     const uint8_t *left, const uint8_t *top)
135
+{
136
+    int y, tl = top[-1];
137
+
138
+    for (y = 0; y < 4; y++) {
139
+        int l_m_tl = left[y] - tl;
140
+
141
+        dst[0] = av_clip_uint8(top[0] + l_m_tl);
142
+        dst[1] = av_clip_uint8(top[1] + l_m_tl);
143
+        dst[2] = av_clip_uint8(top[2] + l_m_tl);
144
+        dst[3] = av_clip_uint8(top[3] + l_m_tl);
145
+        dst += stride;
146
+    }
147
+}
148
+
149
+static void tm_8x8_c(uint8_t *dst, ptrdiff_t stride,
150
+                     const uint8_t *left, const uint8_t *top)
151
+{
152
+    int y, tl = top[-1];
153
+
154
+    for (y = 0; y < 8; y++) {
155
+        int l_m_tl = left[y] - tl;
156
+
157
+        dst[0] = av_clip_uint8(top[0] + l_m_tl);
158
+        dst[1] = av_clip_uint8(top[1] + l_m_tl);
159
+        dst[2] = av_clip_uint8(top[2] + l_m_tl);
160
+        dst[3] = av_clip_uint8(top[3] + l_m_tl);
161
+        dst[4] = av_clip_uint8(top[4] + l_m_tl);
162
+        dst[5] = av_clip_uint8(top[5] + l_m_tl);
163
+        dst[6] = av_clip_uint8(top[6] + l_m_tl);
164
+        dst[7] = av_clip_uint8(top[7] + l_m_tl);
165
+        dst += stride;
166
+    }
167
+}
168
+
169
+static void tm_16x16_c(uint8_t *dst, ptrdiff_t stride,
170
+                       const uint8_t *left, const uint8_t *top)
171
+{
172
+    int y, tl = top[-1];
173
+
174
+    for (y = 0; y < 16; y++) {
175
+        int l_m_tl = left[y] - tl;
176
+
177
+        dst[ 0] = av_clip_uint8(top[ 0] + l_m_tl);
178
+        dst[ 1] = av_clip_uint8(top[ 1] + l_m_tl);
179
+        dst[ 2] = av_clip_uint8(top[ 2] + l_m_tl);
180
+        dst[ 3] = av_clip_uint8(top[ 3] + l_m_tl);
181
+        dst[ 4] = av_clip_uint8(top[ 4] + l_m_tl);
182
+        dst[ 5] = av_clip_uint8(top[ 5] + l_m_tl);
183
+        dst[ 6] = av_clip_uint8(top[ 6] + l_m_tl);
184
+        dst[ 7] = av_clip_uint8(top[ 7] + l_m_tl);
185
+        dst[ 8] = av_clip_uint8(top[ 8] + l_m_tl);
186
+        dst[ 9] = av_clip_uint8(top[ 9] + l_m_tl);
187
+        dst[10] = av_clip_uint8(top[10] + l_m_tl);
188
+        dst[11] = av_clip_uint8(top[11] + l_m_tl);
189
+        dst[12] = av_clip_uint8(top[12] + l_m_tl);
190
+        dst[13] = av_clip_uint8(top[13] + l_m_tl);
191
+        dst[14] = av_clip_uint8(top[14] + l_m_tl);
192
+        dst[15] = av_clip_uint8(top[15] + l_m_tl);
193
+        dst += stride;
194
+    }
195
+}
196
+
197
+static void tm_32x32_c(uint8_t *dst, ptrdiff_t stride,
198
+                       const uint8_t *left, const uint8_t *top)
199
+{
200
+    int y, tl = top[-1];
201
+
202
+    for (y = 0; y < 32; y++) {
203
+        int l_m_tl = left[y] - tl;
204
+
205
+        dst[ 0] = av_clip_uint8(top[ 0] + l_m_tl);
206
+        dst[ 1] = av_clip_uint8(top[ 1] + l_m_tl);
207
+        dst[ 2] = av_clip_uint8(top[ 2] + l_m_tl);
208
+        dst[ 3] = av_clip_uint8(top[ 3] + l_m_tl);
209
+        dst[ 4] = av_clip_uint8(top[ 4] + l_m_tl);
210
+        dst[ 5] = av_clip_uint8(top[ 5] + l_m_tl);
211
+        dst[ 6] = av_clip_uint8(top[ 6] + l_m_tl);
212
+        dst[ 7] = av_clip_uint8(top[ 7] + l_m_tl);
213
+        dst[ 8] = av_clip_uint8(top[ 8] + l_m_tl);
214
+        dst[ 9] = av_clip_uint8(top[ 9] + l_m_tl);
215
+        dst[10] = av_clip_uint8(top[10] + l_m_tl);
216
+        dst[11] = av_clip_uint8(top[11] + l_m_tl);
217
+        dst[12] = av_clip_uint8(top[12] + l_m_tl);
218
+        dst[13] = av_clip_uint8(top[13] + l_m_tl);
219
+        dst[14] = av_clip_uint8(top[14] + l_m_tl);
220
+        dst[15] = av_clip_uint8(top[15] + l_m_tl);
221
+        dst[16] = av_clip_uint8(top[16] + l_m_tl);
222
+        dst[17] = av_clip_uint8(top[17] + l_m_tl);
223
+        dst[18] = av_clip_uint8(top[18] + l_m_tl);
224
+        dst[19] = av_clip_uint8(top[19] + l_m_tl);
225
+        dst[20] = av_clip_uint8(top[20] + l_m_tl);
226
+        dst[21] = av_clip_uint8(top[21] + l_m_tl);
227
+        dst[22] = av_clip_uint8(top[22] + l_m_tl);
228
+        dst[23] = av_clip_uint8(top[23] + l_m_tl);
229
+        dst[24] = av_clip_uint8(top[24] + l_m_tl);
230
+        dst[25] = av_clip_uint8(top[25] + l_m_tl);
231
+        dst[26] = av_clip_uint8(top[26] + l_m_tl);
232
+        dst[27] = av_clip_uint8(top[27] + l_m_tl);
233
+        dst[28] = av_clip_uint8(top[28] + l_m_tl);
234
+        dst[29] = av_clip_uint8(top[29] + l_m_tl);
235
+        dst[30] = av_clip_uint8(top[30] + l_m_tl);
236
+        dst[31] = av_clip_uint8(top[31] + l_m_tl);
237
+        dst += stride;
238
+    }
239
+}
240
+
241
+static void dc_4x4_c(uint8_t *dst, ptrdiff_t stride,
242
+                     const uint8_t *left, const uint8_t *top)
243
+{
244
+    unsigned dc = 0x01010101U * ((left[0] + left[1] + left[2] + left[3] +
245
+                                  top[0] + top[1] + top[2] + top[3] + 4) >> 3);
246
+
247
+    AV_WN32A(dst + stride * 0, dc);
248
+    AV_WN32A(dst + stride * 1, dc);
249
+    AV_WN32A(dst + stride * 2, dc);
250
+    AV_WN32A(dst + stride * 3, dc);
251
+}
252
+
253
+static void dc_8x8_c(uint8_t *dst, ptrdiff_t stride,
254
+                     const uint8_t *left, const uint8_t *top)
255
+{
256
+    uint64_t dc = 0x0101010101010101ULL *
257
+        ((left[0] + left[1] + left[2] + left[3] + left[4] + left[5] +
258
+          left[6] + left[7] + top[0] + top[1] + top[2] + top[3] +
259
+          top[4] + top[5] + top[6] + top[7] + 8) >> 4);
260
+    int y;
261
+
262
+    for (y = 0; y < 8; y++) {
263
+        AV_WN64A(dst, dc);
264
+        dst += stride;
265
+    }
266
+}
267
+
268
+static void dc_16x16_c(uint8_t *dst, ptrdiff_t stride,
269
+                       const uint8_t *left, const uint8_t *top)
270
+{
271
+    uint64_t dc = 0x0101010101010101ULL *
272
+        ((left[0] + left[1] + left[2] + left[3] + left[4] + left[5] + left[6] +
273
+          left[7] + left[8] + left[9] + left[10] + left[11] + left[12] +
274
+          left[13] + left[14] + left[15] + top[0] + top[1] + top[2] + top[3] +
275
+          top[4] + top[5] + top[6] + top[7] + top[8] + top[9] + top[10] +
276
+          top[11] + top[12] + top[13] + top[14] + top[15] + 16) >> 5);
277
+    int y;
278
+
279
+    for (y = 0; y < 16; y++) {
280
+        AV_WN64A(dst + 0, dc);
281
+        AV_WN64A(dst + 8, dc);
282
+        dst += stride;
283
+    }
284
+}
285
+
286
+static void dc_32x32_c(uint8_t *dst, ptrdiff_t stride,
287
+                       const uint8_t *left, const uint8_t *top)
288
+{
289
+    uint64_t dc = 0x0101010101010101ULL *
290
+        ((left[0] + left[1] + left[2] + left[3] + left[4] + left[5] + left[6] +
291
+          left[7] + left[8] + left[9] + left[10] + left[11] + left[12] +
292
+          left[13] + left[14] + left[15] + left[16] + left[17] + left[18] +
293
+          left[19] + left[20] + left[21] + left[22] + left[23] + left[24] +
294
+          left[25] + left[26] + left[27] + left[28] + left[29] + left[30] +
295
+          left[31] + top[0] + top[1] + top[2] + top[3] + top[4] + top[5] +
296
+          top[6] + top[7] + top[8] + top[9] + top[10] + top[11] + top[12] +
297
+          top[13] + top[14] + top[15] + top[16] + top[17] + top[18] + top[19] +
298
+          top[20] + top[21] + top[22] + top[23] + top[24] + top[25] + top[26] +
299
+          top[27] + top[28] + top[29] + top[30] + top[31] + 32) >> 6);
300
+    int y;
301
+
302
+    for (y = 0; y < 32; y++) {
303
+        AV_WN64A(dst +  0, dc);
304
+        AV_WN64A(dst +  8, dc);
305
+        AV_WN64A(dst + 16, dc);
306
+        AV_WN64A(dst + 24, dc);
307
+        dst += stride;
308
+    }
309
+}
310
+
311
+static void dc_left_4x4_c(uint8_t *dst, ptrdiff_t stride,
312
+                          const uint8_t *left, const uint8_t *top)
313
+{
314
+    unsigned dc = 0x01010101U * ((left[0] + left[1] + left[2] + left[3] + 2) >> 2);
315
+
316
+    AV_WN32A(dst + stride * 0, dc);
317
+    AV_WN32A(dst + stride * 1, dc);
318
+    AV_WN32A(dst + stride * 2, dc);
319
+    AV_WN32A(dst + stride * 3, dc);
320
+}
321
+
322
+static void dc_left_8x8_c(uint8_t *dst, ptrdiff_t stride,
323
+                          const uint8_t *left, const uint8_t *top)
324
+{
325
+    uint64_t dc = 0x0101010101010101ULL *
326
+        ((left[0] + left[1] + left[2] + left[3] +
327
+          left[4] + left[5] + left[6] + left[7] + 4) >> 3);
328
+    int y;
329
+
330
+    for (y = 0; y < 8; y++) {
331
+        AV_WN64A(dst, dc);
332
+        dst += stride;
333
+    }
334
+}
335
+
336
+static void dc_left_16x16_c(uint8_t *dst, ptrdiff_t stride,
337
+                            const uint8_t *left, const uint8_t *top)
338
+{
339
+    uint64_t dc = 0x0101010101010101ULL *
340
+        ((left[0] + left[1] + left[2] + left[3] + left[4] + left[5] +
341
+          left[6] + left[7] + left[8] + left[9] + left[10] + left[11] +
342
+          left[12] + left[13] + left[14] + left[15] + 8) >> 4);
343
+    int y;
344
+
345
+    for (y = 0; y < 16; y++) {
346
+        AV_WN64A(dst + 0, dc);
347
+        AV_WN64A(dst + 8, dc);
348
+        dst += stride;
349
+    }
350
+}
351
+
352
+static void dc_left_32x32_c(uint8_t *dst, ptrdiff_t stride,
353
+                            const uint8_t *left, const uint8_t *top)
354
+{
355
+    uint64_t dc = 0x0101010101010101ULL *
356
+        ((left[0] + left[1] + left[2] + left[3] + left[4] + left[5] +
357
+          left[6] + left[7] + left[8] + left[9] + left[10] + left[11] +
358
+          left[12] + left[13] + left[14] + left[15] + left[16] + left[17] +
359
+          left[18] + left[19] + left[20] + left[21] + left[22] + left[23] +
360
+          left[24] + left[25] + left[26] + left[27] + left[28] + left[29] +
361
+          left[30] + left[31] + 16) >> 5);
362
+    int y;
363
+
364
+    for (y = 0; y < 32; y++) {
365
+        AV_WN64A(dst +  0, dc);
366
+        AV_WN64A(dst +  8, dc);
367
+        AV_WN64A(dst + 16, dc);
368
+        AV_WN64A(dst + 24, dc);
369
+        dst += stride;
370
+    }
371
+}
372
+
373
+static void dc_top_4x4_c(uint8_t *dst, ptrdiff_t stride,
374
+                         const uint8_t *left, const uint8_t *top)
375
+{
376
+    unsigned dc = 0x01010101U * ((top[0] + top[1] + top[2] + top[3] + 2) >> 2);
377
+
378
+    AV_WN32A(dst + stride * 0, dc);
379
+    AV_WN32A(dst + stride * 1, dc);
380
+    AV_WN32A(dst + stride * 2, dc);
381
+    AV_WN32A(dst + stride * 3, dc);
382
+}
383
+
384
+static void dc_top_8x8_c(uint8_t *dst, ptrdiff_t stride,
385
+                         const uint8_t *left, const uint8_t *top)
386
+{
387
+    uint64_t dc = 0x0101010101010101ULL *
388
+        ((top[0] + top[1] + top[2] + top[3] +
389
+          top[4] + top[5] + top[6] + top[7] + 4) >> 3);
390
+    int y;
391
+
392
+    for (y = 0; y < 8; y++) {
393
+        AV_WN64A(dst, dc);
394
+        dst += stride;
395
+    }
396
+}
397
+
398
+static void dc_top_16x16_c(uint8_t *dst, ptrdiff_t stride,
399
+                           const uint8_t *left, const uint8_t *top)
400
+{
401
+    uint64_t dc = 0x0101010101010101ULL *
402
+        ((top[0] + top[1] + top[2] + top[3] + top[4] + top[5] +
403
+          top[6] + top[7] + top[8] + top[9] + top[10] + top[11] +
404
+          top[12] + top[13] + top[14] + top[15] + 8) >> 4);
405
+    int y;
406
+
407
+    for (y = 0; y < 16; y++) {
408
+        AV_WN64A(dst + 0, dc);
409
+        AV_WN64A(dst + 8, dc);
410
+        dst += stride;
411
+    }
412
+}
413
+
414
+static void dc_top_32x32_c(uint8_t *dst, ptrdiff_t stride,
415
+                           const uint8_t *left, const uint8_t *top)
416
+{
417
+    uint64_t dc = 0x0101010101010101ULL *
418
+        ((top[0] + top[1] + top[2] + top[3] + top[4] + top[5] +
419
+          top[6] + top[7] + top[8] + top[9] + top[10] + top[11] +
420
+          top[12] + top[13] + top[14] + top[15] + top[16] + top[17] +
421
+          top[18] + top[19] + top[20] + top[21] + top[22] + top[23] +
422
+          top[24] + top[25] + top[26] + top[27] + top[28] + top[29] +
423
+          top[30] + top[31] + 16) >> 5);
424
+    int y;
425
+
426
+    for (y = 0; y < 32; y++) {
427
+        AV_WN64A(dst +  0, dc);
428
+        AV_WN64A(dst +  8, dc);
429
+        AV_WN64A(dst + 16, dc);
430
+        AV_WN64A(dst + 24, dc);
431
+        dst += stride;
432
+    }
433
+}
434
+
435
+static void dc_128_4x4_c(uint8_t *dst, ptrdiff_t stride,
436
+                         const uint8_t *left, const uint8_t *top)
437
+{
438
+    AV_WN32A(dst + stride * 0, 0x80808080U);
439
+    AV_WN32A(dst + stride * 1, 0x80808080U);
440
+    AV_WN32A(dst + stride * 2, 0x80808080U);
441
+    AV_WN32A(dst + stride * 3, 0x80808080U);
442
+}
443
+
444
+static void dc_128_8x8_c(uint8_t *dst, ptrdiff_t stride,
445
+                         const uint8_t *left, const uint8_t *top)
446
+{
447
+    int y;
448
+
449
+    for (y = 0; y < 8; y++) {
450
+        AV_WN64A(dst, 0x8080808080808080ULL);
451
+        dst += stride;
452
+    }
453
+}
454
+
455
+static void dc_128_16x16_c(uint8_t *dst, ptrdiff_t stride,
456
+                           const uint8_t *left, const uint8_t *top)
457
+{
458
+    int y;
459
+
460
+    for (y = 0; y < 16; y++) {
461
+        AV_WN64A(dst + 0, 0x8080808080808080ULL);
462
+        AV_WN64A(dst + 8, 0x8080808080808080ULL);
463
+        dst += stride;
464
+    }
465
+}
466
+
467
+static void dc_128_32x32_c(uint8_t *dst, ptrdiff_t stride,
468
+                           const uint8_t *left, const uint8_t *top)
469
+{
470
+    int y;
471
+
472
+    for (y = 0; y < 32; y++) {
473
+        AV_WN64A(dst +  0, 0x8080808080808080ULL);
474
+        AV_WN64A(dst +  8, 0x8080808080808080ULL);
475
+        AV_WN64A(dst + 16, 0x8080808080808080ULL);
476
+        AV_WN64A(dst + 24, 0x8080808080808080ULL);
477
+        dst += stride;
478
+    }
479
+}
480
+
481
+static void dc_127_4x4_c(uint8_t *dst, ptrdiff_t stride,
482
+                         const uint8_t *left, const uint8_t *top)
483
+{
484
+    AV_WN32A(dst + stride * 0, 0x7F7F7F7FU);
485
+    AV_WN32A(dst + stride * 1, 0x7F7F7F7FU);
486
+    AV_WN32A(dst + stride * 2, 0x7F7F7F7FU);
487
+    AV_WN32A(dst + stride * 3, 0x7F7F7F7FU);
488
+}
489
+
490
+static void dc_127_8x8_c(uint8_t *dst, ptrdiff_t stride,
491
+                         const uint8_t *left, const uint8_t *top)
492
+{
493
+    int y;
494
+
495
+    for (y = 0; y < 8; y++) {
496
+        AV_WN64A(dst, 0x7F7F7F7F7F7F7F7FULL);
497
+        dst += stride;
498
+    }
499
+}
500
+
501
+static void dc_127_16x16_c(uint8_t *dst, ptrdiff_t stride,
502
+                           const uint8_t *left, const uint8_t *top)
503
+{
504
+    int y;
505
+
506
+    for (y = 0; y < 16; y++) {
507
+        AV_WN64A(dst + 0, 0x7F7F7F7F7F7F7F7FULL);
508
+        AV_WN64A(dst + 8, 0x7F7F7F7F7F7F7F7FULL);
509
+        dst += stride;
510
+    }
511
+}
512
+
513
+static void dc_127_32x32_c(uint8_t *dst, ptrdiff_t stride,
514
+                           const uint8_t *left, const uint8_t *top)
515
+{
516
+    int y;
517
+
518
+    for (y = 0; y < 32; y++) {
519
+        AV_WN64A(dst +  0, 0x7F7F7F7F7F7F7F7FULL);
520
+        AV_WN64A(dst +  8, 0x7F7F7F7F7F7F7F7FULL);
521
+        AV_WN64A(dst + 16, 0x7F7F7F7F7F7F7F7FULL);
522
+        AV_WN64A(dst + 24, 0x7F7F7F7F7F7F7F7FULL);
523
+        dst += stride;
524
+    }
525
+}
526
+
527
+static void dc_129_4x4_c(uint8_t *dst, ptrdiff_t stride,
528
+                         const uint8_t *left, const uint8_t *top)
529
+{
530
+    AV_WN32A(dst + stride * 0, 0x81818181U);
531
+    AV_WN32A(dst + stride * 1, 0x81818181U);
532
+    AV_WN32A(dst + stride * 2, 0x81818181U);
533
+    AV_WN32A(dst + stride * 3, 0x81818181U);
534
+}
535
+
536
+static void dc_129_8x8_c(uint8_t *dst, ptrdiff_t stride,
537
+                         const uint8_t *left, const uint8_t *top)
538
+{
539
+    int y;
540
+
541
+    for (y = 0; y < 8; y++) {
542
+        AV_WN64A(dst, 0x8181818181818181ULL);
543
+        dst += stride;
544
+    }
545
+}
546
+
547
+static void dc_129_16x16_c(uint8_t *dst, ptrdiff_t stride,
548
+                           const uint8_t *left, const uint8_t *top)
549
+{
550
+    int y;
551
+
552
+    for (y = 0; y < 16; y++) {
553
+        AV_WN64A(dst + 0, 0x8181818181818181ULL);
554
+        AV_WN64A(dst + 8, 0x8181818181818181ULL);
555
+        dst += stride;
556
+    }
557
+}
558
+
559
+static void dc_129_32x32_c(uint8_t *dst, ptrdiff_t stride,
560
+                           const uint8_t *left, const uint8_t *top)
561
+{
562
+    int y;
563
+
564
+    for (y = 0; y < 32; y++) {
565
+        AV_WN64A(dst +  0, 0x8181818181818181ULL);
566
+        AV_WN64A(dst +  8, 0x8181818181818181ULL);
567
+        AV_WN64A(dst + 16, 0x8181818181818181ULL);
568
+        AV_WN64A(dst + 24, 0x8181818181818181ULL);
569
+        dst += stride;
570
+    }
571
+}
572
+
573
+#define DST(x, y) dst[(x) + (y) * stride]
574
+
575
+static void diag_downleft_4x4_c(uint8_t *dst, ptrdiff_t stride,
576
+                                const uint8_t *left, const uint8_t *top)
577
+{
578
+    int a0 = top[0], a1 = top[1], a2 = top[2], a3 = top[3],
579
+        a4 = top[4], a5 = top[5], a6 = top[6], a7 = top[7];
580
+
581
+    DST(0,0) = (a0 + a1 * 2 + a2 + 2) >> 2;
582
+    DST(1,0) = DST(0,1) = (a1 + a2 * 2 + a3 + 2) >> 2;
583
+    DST(2,0) = DST(1,1) = DST(0,2) = (a2 + a3 * 2 + a4 + 2) >> 2;
584
+    DST(3,0) = DST(2,1) = DST(1,2) = DST(0,3) = (a3 + a4 * 2 + a5 + 2) >> 2;
585
+    DST(3,1) = DST(2,2) = DST(1,3) = (a4 + a5 * 2 + a6 + 2) >> 2;
586
+    DST(3,2) = DST(2,3) = (a5 + a6 * 2 + a7 + 2) >> 2;
587
+    DST(3,3) = a7;  // note: this is different from vp8 and such
588
+}
589
+
590
+#define def_diag_downleft(size) \
591
+static void diag_downleft_##size##x##size##_c(uint8_t *dst, ptrdiff_t stride, \
592
+                                              const uint8_t *left, const uint8_t *top) \
593
+{ \
594
+    int i, j; \
595
+    uint8_t v[size - 1]; \
596
+\
597
+    for (i = 0; i < size - 2; i++) \
598
+        v[i] = (top[i] + top[i + 1] * 2 + top[i + 2] + 2) >> 2; \
599
+    v[size - 2] = (top[size - 2] + top[size - 1] * 3 + 2) >> 2; \
600
+\
601
+    for (j = 0; j < size; j++) { \
602
+        memcpy(dst + j*stride, v + j, size - 1 - j); \
603
+        memset(dst + j*stride + size - 1 - j, top[size - 1], j + 1); \
604
+    } \
605
+}
606
+
607
+def_diag_downleft(8)
608
+def_diag_downleft(16)
609
+def_diag_downleft(32)
610
+
611
+static void diag_downright_4x4_c(uint8_t *dst, ptrdiff_t stride,
612
+                                 const uint8_t *left, const uint8_t *top)
613
+{
614
+    int tl = top[-1], a0 = top[0], a1 = top[1], a2 = top[2], a3 = top[3],
615
+        l0 = left[0], l1 = left[1], l2 = left[2], l3 = left[3];
616
+
617
+    DST(0,3) = (l1 + l2 * 2 + l3 + 2) >> 2;
618
+    DST(0,2) = DST(1,3) = (l0 + l1 * 2 + l2 + 2) >> 2;
619
+    DST(0,1) = DST(1,2) = DST(2,3) = (tl + l0 * 2 + l1 + 2) >> 2;
620
+    DST(0,0) = DST(1,1) = DST(2,2) = DST(3,3) = (l0 + tl * 2 + a0 + 2) >> 2;
621
+    DST(1,0) = DST(2,1) = DST(3,2) = (tl + a0 * 2 + a1 + 2) >> 2;
622
+    DST(2,0) = DST(3,1) = (a0 + a1 * 2 + a2 + 2) >> 2;
623
+    DST(3,0) = (a1 + a2 * 2 + a3 + 2) >> 2;
624
+}
625
+
626
+#define def_diag_downright(size) \
627
+static void diag_downright_##size##x##size##_c(uint8_t *dst, ptrdiff_t stride, \
628
+                                               const uint8_t *left, const uint8_t *top) \
629
+{ \
630
+    int i, j; \
631
+    uint8_t v[size + size - 1]; \
632
+\
633
+    for (i = 0; i < size - 2; i++) { \
634
+        v[i           ] = (left[size - 1 - i] + left[size - 2 - i] * 2 + left[size - 3 - i] + 2) >> 2; \
635
+        v[size + 1 + i] = (top[i]             + top[i + 1]         * 2 + top[i + 2]         + 2) >> 2; \
636
+    } \
637
+    v[size - 2] = (left[1] + left[0] * 2 + top[-1] + 2) >> 2; \
638
+    v[size - 1] = (left[0] + top[-1] * 2 + top[ 0] + 2) >> 2; \
639
+    v[size    ] = (top[-1] + top[0]  * 2 + top[ 1] + 2) >> 2; \
640
+\
641
+    for (j = 0; j < size; j++) \
642
+        memcpy(dst + j*stride, v + size - 1 - j, size); \
643
+}
644
+
645
+def_diag_downright(8)
646
+def_diag_downright(16)
647
+def_diag_downright(32)
648
+
649
+static void vert_right_4x4_c(uint8_t *dst, ptrdiff_t stride,
650
+                             const uint8_t *left, const uint8_t *top)
651
+{
652
+    int tl = top[-1], a0 = top[0], a1 = top[1], a2 = top[2], a3 = top[3],
653
+        l0 = left[0], l1 = left[1], l2 = left[2];
654
+
655
+    DST(0,3) = (l0 + l1 * 2 + l2 + 2) >> 2;
656
+    DST(0,2) = (tl + l0 * 2 + l1 + 2) >> 2;
657
+    DST(0,0) = DST(1,2) = (tl + a0 + 1) >> 1;
658
+    DST(0,1) = DST(1,3) = (l0 + tl * 2 + a0 + 2) >> 2;
659
+    DST(1,0) = DST(2,2) = (a0 + a1 + 1) >> 1;
660
+    DST(1,1) = DST(2,3) = (tl + a0 * 2 + a1 + 2) >> 2;
661
+    DST(2,0) = DST(3,2) = (a1 + a2 + 1) >> 1;
662
+    DST(2,1) = DST(3,3) = (a0 + a1 * 2 + a2 + 2) >> 2;
663
+    DST(3,0) = (a2 + a3 + 1) >> 1;
664
+    DST(3,1) = (a1 + a2 * 2 + a3 + 2) >> 2;
665
+}
666
+
667
+#define def_vert_right(size) \
668
+static void vert_right_##size##x##size##_c(uint8_t *dst, ptrdiff_t stride, \
669
+                                           const uint8_t *left, const uint8_t *top) \
670
+{ \
671
+    int i, j; \
672
+    uint8_t ve[size + size/2 - 1], vo[size + size/2 - 1]; \
673
+\
674
+    for (i = 0; i < size/2 - 2; i++) { \
675
+        vo[i] = (left[size - 4 - i*2] + left[size - 3 - i*2] * 2 + left[size - 2 - i*2] + 2) >> 2; \
676
+        ve[i] = (left[size - 5 - i*2] + left[size - 4 - i*2] * 2 + left[size - 3 - i*2] + 2) >> 2; \
677
+    } \
678
+    vo[size/2 - 2] = (left[0] + left[1] * 2 + left[2] + 2) >> 2; \
679
+    ve[size/2 - 2] = (top[-1] + left[0] * 2 + left[1] + 2) >> 2; \
680
+\
681
+    ve[size/2 - 1] = (top[-1] + top[0] + 1) >> 1; \
682
+    vo[size/2 - 1] = (left[0] + top[-1] * 2 + top[0] + 2) >> 2; \
683
+    for (i = 0; i < size - 1; i++) { \
684
+        ve[size/2 + i] = (top[i] + top[i + 1] + 1) >> 1; \
685
+        vo[size/2 + i] = (top[i - 1] + top[i] * 2 + top[i + 1] + 2) >> 2; \
686
+    } \
687
+\
688
+    for (j = 0; j < size / 2; j++) { \
689
+        memcpy(dst +  j*2     *stride, ve + size/2 - 1 - j, size); \
690
+        memcpy(dst + (j*2 + 1)*stride, vo + size/2 - 1 - j, size); \
691
+    } \
692
+}
693
+
694
+def_vert_right(8)
695
+def_vert_right(16)
696
+def_vert_right(32)
697
+
698
+static void hor_down_4x4_c(uint8_t *dst, ptrdiff_t stride,
699
+                           const uint8_t *left, const uint8_t *top)
700
+{
701
+    int l0 = left[0], l1 = left[1], l2 = left[2], l3 = left[3],
702
+        tl = top[-1], a0 = top[0], a1 = top[1], a2 = top[2];
703
+
704
+    DST(2,0) = (tl + a0 * 2 + a1 + 2) >> 2;
705
+    DST(3,0) = (a0 + a1 * 2 + a2 + 2) >> 2;
706
+    DST(0,0) = DST(2,1) = (tl + l0 + 1) >> 1;
707
+    DST(1,0) = DST(3,1) = (a0 + tl * 2 + l0 + 2) >> 2;
708
+    DST(0,1) = DST(2,2) = (l0 + l1 + 1) >> 1;
709
+    DST(1,1) = DST(3,2) = (tl + l0 * 2 + l1 + 2) >> 2;
710
+    DST(0,2) = DST(2,3) = (l1 + l2 + 1) >> 1;
711
+    DST(1,2) = DST(3,3) = (l0 + l1 * 2 + l2 + 2) >> 2;
712
+    DST(0,3) = (l2 + l3 + 1) >> 1;
713
+    DST(1,3) = (l1 + l2 * 2 + l3 + 2) >> 2;
714
+}
715
+
716
+#define def_hor_down(size) \
717
+static void hor_down_##size##x##size##_c(uint8_t *dst, ptrdiff_t stride, \
718
+                                         const uint8_t *left, const uint8_t *top) \
719
+{ \
720
+    int i, j; \
721
+    uint8_t v[size * 3 - 2]; \
722
+\
723
+    for (i = 0; i < size - 2; i++) { \
724
+        v[i*2       ] = (left[size - 2 - i] + left[size - 1 - i] + 1) >> 1; \
725
+        v[i*2    + 1] = (left[size - 3 - i] + left[size - 2 - i] * 2 + left[size - 1 - i] + 2) >> 2; \
726
+        v[size*2 + i] = (top[i - 1] + top[i] * 2 + top[i + 1] + 2) >> 2; \
727
+    } \
728
+    v[size*2 - 2] = (top[-1] + left[0] + 1) >> 1; \
729
+    v[size*2 - 4] = (left[0] + left[1] + 1) >> 1; \
730
+    v[size*2 - 1] = (top[0]  + top[-1] * 2 + left[0] + 2) >> 2; \
731
+    v[size*2 - 3] = (top[-1] + left[0] * 2 + left[1] + 2) >> 2; \
732
+\
733
+    for (j = 0; j < size; j++) \
734
+        memcpy(dst + j*stride, v + size*2 - 2 - j*2, size); \
735
+}
736
+
737
+def_hor_down(8)
738
+def_hor_down(16)
739
+def_hor_down(32)
740
+
741
+static void vert_left_4x4_c(uint8_t *dst, ptrdiff_t stride,
742
+                            const uint8_t *left, const uint8_t *top)
743
+{
744
+    int a0 = top[0], a1 = top[1], a2 = top[2], a3 = top[3],
745
+        a4 = top[4], a5 = top[5], a6 = top[6];
746
+
747
+    DST(0,0) = (a0 + a1 + 1) >> 1;
748
+    DST(0,1) = (a0 + a1 * 2 + a2 + 2) >> 2;
749
+    DST(1,0) = DST(0,2) = (a1 + a2 + 1) >> 1;
750
+    DST(1,1) = DST(0,3) = (a1 + a2 * 2 + a3 + 2) >> 2;
751
+    DST(2,0) = DST(1,2) = (a2 + a3 + 1) >> 1;
752
+    DST(2,1) = DST(1,3) = (a2 + a3 * 2 + a4 + 2) >> 2;
753
+    DST(3,0) = DST(2,2) = (a3 + a4 + 1) >> 1;
754
+    DST(3,1) = DST(2,3) = (a3 + a4 * 2 + a5 + 2) >> 2;
755
+    DST(3,2) = (a4 + a5 + 1) >> 1;
756
+    DST(3,3) = (a4 + a5 * 2 + a6 + 2) >> 2;
757
+}
758
+
759
+#define def_vert_left(size) \
760
+static void vert_left_##size##x##size##_c(uint8_t *dst, ptrdiff_t stride, \
761
+                                          const uint8_t *left, const uint8_t *top) \
762
+{ \
763
+    int i, j; \
764
+    uint8_t ve[size - 1], vo[size - 1]; \
765
+\
766
+    for (i = 0; i < size - 2; i++) { \
767
+        ve[i] = (top[i] + top[i + 1] + 1) >> 1; \
768
+        vo[i] = (top[i] + top[i + 1] * 2 + top[i + 2] + 2) >> 2; \
769
+    } \
770
+    ve[size - 2] = (top[size - 2] + top[size - 1] + 1) >> 1; \
771
+    vo[size - 2] = (top[size - 2] + top[size - 1] * 3 + 2) >> 2; \
772
+\
773
+    for (j = 0; j < size / 2; j++) { \
774
+        memcpy(dst +  j*2      * stride, ve + j, size - j); \
775
+        memset(dst +  j*2      * stride + size - j - 1, top[size - 1], j + 1); \
776
+        memcpy(dst + (j*2 + 1) * stride, vo + j, size - j); \
777
+        memset(dst + (j*2 + 1) * stride + size - j - 1, top[size - 1], j + 1); \
778
+    } \
779
+}
780
+
781
+def_vert_left(8)
782
+def_vert_left(16)
783
+def_vert_left(32)
784
+
785
+static void hor_up_4x4_c(uint8_t *dst, ptrdiff_t stride,
786
+                         const uint8_t *left, const uint8_t *top)
787
+{
788
+    int l0 = left[0], l1 = left[1], l2 = left[2], l3 = left[3];
789
+
790
+    DST(0,0) = (l0 + l1 + 1) >> 1;
791
+    DST(1,0) = (l0 + l1 * 2 + l2 + 2) >> 2;
792
+    DST(0,1) = DST(2,0) = (l1 + l2 + 1) >> 1;
793
+    DST(1,1) = DST(3,0) = (l1 + l2 * 2 + l3 + 2) >> 2;
794
+    DST(0,2) = DST(2,1) = (l2 + l3 + 1) >> 1;
795
+    DST(1,2) = DST(3,1) = (l2 + l3 * 3 + 2) >> 2;
796
+    DST(0,3) = DST(1,3) = DST(2,2) = DST(2,3) = DST(3,2) = DST(3,3) = l3;
797
+}
798
+
799
+#define def_hor_up(size) \
800
+static void hor_up_##size##x##size##_c(uint8_t *dst, ptrdiff_t stride, \
801
+                                       const uint8_t *left, const uint8_t *top) \
802
+{ \
803
+    int i, j; \
804
+    uint8_t v[size*2 - 2]; \
805
+\
806
+    for (i = 0; i < size - 2; i++) { \
807
+        v[i*2    ] = (left[i] + left[i + 1] + 1) >> 1; \
808
+        v[i*2 + 1] = (left[i] + left[i + 1] * 2 + left[i + 2] + 2) >> 2; \
809
+    } \
810
+    v[size*2 - 4] = (left[size - 2] + left[size - 1] + 1) >> 1; \
811
+    v[size*2 - 3] = (left[size - 2] + left[size - 1] * 3 + 2) >> 2; \
812
+\
813
+    for (j = 0; j < size / 2; j++) \
814
+        memcpy(dst + j*stride, v + j*2, size); \
815
+    for (j = size / 2; j < size; j++) { \
816
+        memcpy(dst + j*stride, v + j*2, size*2 - 2 - j*2); \
817
+        memset(dst + j*stride + size*2 - 2 - j*2, left[size - 1], \
818
+               2 + j*2 - size); \
819
+    } \
820
+}
821
+
822
+def_hor_up(8)
823
+def_hor_up(16)
824
+def_hor_up(32)
825
+
826
+#undef DST
827
+
828
+static av_cold void vp9dsp_intrapred_init(VP9DSPContext *dsp)
829
+{
830
+#define init_intra_pred(tx, sz) \
831
+    dsp->intra_pred[tx][VERT_PRED]            = vert_##sz##_c; \
832
+    dsp->intra_pred[tx][HOR_PRED]             = hor_##sz##_c; \
833
+    dsp->intra_pred[tx][DC_PRED]              = dc_##sz##_c; \
834
+    dsp->intra_pred[tx][DIAG_DOWN_LEFT_PRED]  = diag_downleft_##sz##_c; \
835
+    dsp->intra_pred[tx][DIAG_DOWN_RIGHT_PRED] = diag_downright_##sz##_c; \
836
+    dsp->intra_pred[tx][VERT_RIGHT_PRED]      = vert_right_##sz##_c; \
837
+    dsp->intra_pred[tx][HOR_DOWN_PRED]        = hor_down_##sz##_c; \
838
+    dsp->intra_pred[tx][VERT_LEFT_PRED]       = vert_left_##sz##_c; \
839
+    dsp->intra_pred[tx][HOR_UP_PRED]          = hor_up_##sz##_c; \
840
+    dsp->intra_pred[tx][TM_VP8_PRED]          = tm_##sz##_c; \
841
+    dsp->intra_pred[tx][LEFT_DC_PRED]         = dc_left_##sz##_c; \
842
+    dsp->intra_pred[tx][TOP_DC_PRED]          = dc_top_##sz##_c; \
843
+    dsp->intra_pred[tx][DC_128_PRED]          = dc_128_##sz##_c; \
844
+    dsp->intra_pred[tx][DC_127_PRED]          = dc_127_##sz##_c; \
845
+    dsp->intra_pred[tx][DC_129_PRED]          = dc_129_##sz##_c
846
+
847
+    init_intra_pred(TX_4X4,   4x4);
848
+    init_intra_pred(TX_8X8,   8x8);
849
+    init_intra_pred(TX_16X16, 16x16);
850
+    init_intra_pred(TX_32X32, 32x32);
851
+
852
+#undef init_intra_pred
853
+}
854
+
855
+#define itxfm_wrapper(type_a, type_b, sz, bits) \
856
+static void type_a##_##type_b##_##sz##x##sz##_add_c(uint8_t *dst, \
857
+                                                    ptrdiff_t stride, \
858
+                                                    int16_t *block, int eob) \
859
+{ \
860
+    int i, j; \
861
+    int16_t tmp[sz * sz], out[sz]; \
862
+    for (i = 0; i < sz; i++) \
863
+        type_a##sz##_1d(block + i, sz, tmp + i * sz, 0); \
864
+    memset(block, 0, sz * sz * sizeof(*block)); \
865
+    for (i = 0; i < sz; i++) { \
866
+        type_b##sz##_1d(tmp + i, sz, out, 1); \
867
+        for (j = 0; j < sz; j++) \
868
+            dst[j * stride] = av_clip_uint8(dst[j * stride] + \
869
+                                            (bits ? \
870
+                                             (out[j] + (1 << (bits - 1))) >> bits : \
871
+                                             out[j])); \
872
+        dst++; \
873
+    } \
874
+}
875
+
876
+#define itxfm_wrap(sz, bits) \
877
+itxfm_wrapper(idct,  idct,  sz, bits) \
878
+itxfm_wrapper(iadst, idct,  sz, bits) \
879
+itxfm_wrapper(idct,  iadst, sz, bits) \
880
+itxfm_wrapper(iadst, iadst, sz, bits)
881
+
882
+#define IN(x) in[x * stride]
883
+
884
+static av_always_inline void idct4_1d(const int16_t *in, ptrdiff_t stride,
885
+                                      int16_t *out, int pass)
886
+{
887
+    int t0, t1, t2, t3;
888
+
889
+    t0 = ((IN(0) + IN(2)) * 11585 + (1 << 13)) >> 14;
890
+    t1 = ((IN(0) - IN(2)) * 11585 + (1 << 13)) >> 14;
891
+    t2 = (IN(1) *  6270 - IN(3) * 15137 + (1 << 13)) >> 14;
892
+    t3 = (IN(1) * 15137 + IN(3) *  6270 + (1 << 13)) >> 14;
893
+
894
+    out[0] = t0 + t3;
895
+    out[1] = t1 + t2;
896
+    out[2] = t1 - t2;
897
+    out[3] = t0 - t3;
898
+}
899
+
900
+static av_always_inline void iadst4_1d(const int16_t *in, ptrdiff_t stride,
901
+                                       int16_t *out, int pass)
902
+{
903
+    int t0, t1, t2, t3;
904
+
905
+    t0 =  5283 * IN(0) + 15212 * IN(2) +  9929 * IN(3);
906
+    t1 =  9929 * IN(0) -  5283 * IN(2) - 15212 * IN(3);
907
+    t2 = 13377 * (IN(0) - IN(2) + IN(3));
908
+    t3 = 13377 * IN(1);
909
+
910
+    out[0] = (t0 + t3      + (1 << 13)) >> 14;
911
+    out[1] = (t1 + t3      + (1 << 13)) >> 14;
912
+    out[2] = (t2           + (1 << 13)) >> 14;
913
+    out[3] = (t0 + t1 - t3 + (1 << 13)) >> 14;
914
+}
915
+
916
+itxfm_wrap(4, 4)
917
+
918
+static av_always_inline void idct8_1d(const int16_t *in, ptrdiff_t stride,
919
+                                      int16_t *out, int pass)
920
+{
921
+    int t0, t0a, t1, t1a, t2, t2a, t3, t3a, t4, t4a, t5, t5a, t6, t6a, t7, t7a;
922
+
923
+    t0a = ((IN(0) + IN(4)) * 11585 + (1 << 13)) >> 14;
924
+    t1a = ((IN(0) - IN(4)) * 11585 + (1 << 13)) >> 14;
925
+    t2a = (IN(2) *  6270 - IN(6) * 15137 + (1 << 13)) >> 14;
926
+    t3a = (IN(2) * 15137 + IN(6) *  6270 + (1 << 13)) >> 14;
927
+    t4a = (IN(1) *  3196 - IN(7) * 16069 + (1 << 13)) >> 14;
928
+    t5a = (IN(5) * 13623 - IN(3) *  9102 + (1 << 13)) >> 14;
929
+    t6a = (IN(5) *  9102 + IN(3) * 13623 + (1 << 13)) >> 14;
930
+    t7a = (IN(1) * 16069 + IN(7) *  3196 + (1 << 13)) >> 14;
931
+
932
+    t0  = t0a + t3a;
933
+    t1  = t1a + t2a;
934
+    t2  = t1a - t2a;
935
+    t3  = t0a - t3a;
936
+    t4  = t4a + t5a;
937
+    t5a = t4a - t5a;
938
+    t7  = t7a + t6a;
939
+    t6a = t7a - t6a;
940
+
941
+    t5  = ((t6a - t5a) * 11585 + (1 << 13)) >> 14;
942
+    t6  = ((t6a + t5a) * 11585 + (1 << 13)) >> 14;
943
+
944
+    out[0] = t0 + t7;
945
+    out[1] = t1 + t6;
946
+    out[2] = t2 + t5;
947
+    out[3] = t3 + t4;
948
+    out[4] = t3 - t4;
949
+    out[5] = t2 - t5;
950
+    out[6] = t1 - t6;
951
+    out[7] = t0 - t7;
952
+}
953
+
954
+static av_always_inline void iadst8_1d(const int16_t *in, ptrdiff_t stride,
955
+                                       int16_t *out, int pass)
956
+{
957
+    int t0, t0a, t1, t1a, t2, t2a, t3, t3a, t4, t4a, t5, t5a, t6, t6a, t7, t7a;
958
+
959
+    t0a = 16305 * IN(7) +  1606 * IN(0);
960
+    t1a =  1606 * IN(7) - 16305 * IN(0);
961
+    t2a = 14449 * IN(5) +  7723 * IN(2);
962
+    t3a =  7723 * IN(5) - 14449 * IN(2);
963
+    t4a = 10394 * IN(3) + 12665 * IN(4);
964
+    t5a = 12665 * IN(3) - 10394 * IN(4);
965
+    t6a =  4756 * IN(1) + 15679 * IN(6);
966
+    t7a = 15679 * IN(1) -  4756 * IN(6);
967
+
968
+    t0 = (t0a + t4a + (1 << 13)) >> 14;
969
+    t1 = (t1a + t5a + (1 << 13)) >> 14;
970
+    t2 = (t2a + t6a + (1 << 13)) >> 14;
971
+    t3 = (t3a + t7a + (1 << 13)) >> 14;
972
+    t4 = (t0a - t4a + (1 << 13)) >> 14;
973
+    t5 = (t1a - t5a + (1 << 13)) >> 14;
974
+    t6 = (t2a - t6a + (1 << 13)) >> 14;
975
+    t7 = (t3a - t7a + (1 << 13)) >> 14;
976
+
977
+    t4a = 15137 * t4 +  6270 * t5;
978
+    t5a =  6270 * t4 - 15137 * t5;
979
+    t6a = 15137 * t7 -  6270 * t6;
980
+    t7a =  6270 * t7 + 15137 * t6;
981
+
982
+    out[0] =   t0 + t2;
983
+    out[7] = -(t1 + t3);
984
+    t2     =   t0 - t2;
985
+    t3     =   t1 - t3;
986
+
987
+    out[1] = -((t4a + t6a + (1 << 13)) >> 14);
988
+    out[6] =   (t5a + t7a + (1 << 13)) >> 14;
989
+    t6     =   (t4a - t6a + (1 << 13)) >> 14;
990
+    t7     =   (t5a - t7a + (1 << 13)) >> 14;
991
+
992
+    out[3] = -(((t2 + t3) * 11585 + (1 << 13)) >> 14);
993
+    out[4] =   ((t2 - t3) * 11585 + (1 << 13)) >> 14;
994
+    out[2] =   ((t6 + t7) * 11585 + (1 << 13)) >> 14;
995
+    out[5] = -(((t6 - t7) * 11585 + (1 << 13)) >> 14);
996
+}
997
+
998
+itxfm_wrap(8, 5)
999
+
1000
+static av_always_inline void idct16_1d(const int16_t *in, ptrdiff_t stride,
1001
+                                       int16_t *out, int pass)
1002
+{
1003
+    int t0, t1, t2, t3, t4, t5, t6, t7, t8, t9, t10, t11, t12, t13, t14, t15;
1004
+    int t0a, t1a, t2a, t3a, t4a, t5a, t6a, t7a;
1005
+    int t8a, t9a, t10a, t11a, t12a, t13a, t14a, t15a;
1006
+
1007
+    t0a  = ((IN(0) + IN(8)) * 11585 + (1 << 13)) >> 14;
1008
+    t1a  = ((IN(0) - IN(8)) * 11585 + (1 << 13)) >> 14;
1009
+    t2a  = (IN(4)  *  6270 - IN(12) * 15137 + (1 << 13)) >> 14;
1010
+    t3a  = (IN(4)  * 15137 + IN(12) *  6270 + (1 << 13)) >> 14;
1011
+    t4a  = (IN(2)  *  3196 - IN(14) * 16069 + (1 << 13)) >> 14;
1012
+    t7a  = (IN(2)  * 16069 + IN(14) *  3196 + (1 << 13)) >> 14;
1013
+    t5a  = (IN(10) * 13623 - IN(6)  *  9102 + (1 << 13)) >> 14;
1014
+    t6a  = (IN(10) *  9102 + IN(6)  * 13623 + (1 << 13)) >> 14;
1015
+    t8a  = (IN(1)  *  1606 - IN(15) * 16305 + (1 << 13)) >> 14;
1016
+    t15a = (IN(1)  * 16305 + IN(15) *  1606 + (1 << 13)) >> 14;
1017
+    t9a  = (IN(9)  * 12665 - IN(7)  * 10394 + (1 << 13)) >> 14;
1018
+    t14a = (IN(9)  * 10394 + IN(7)  * 12665 + (1 << 13)) >> 14;
1019
+    t10a = (IN(5)  *  7723 - IN(11) * 14449 + (1 << 13)) >> 14;
1020
+    t13a = (IN(5)  * 14449 + IN(11) *  7723 + (1 << 13)) >> 14;
1021
+    t11a = (IN(13) * 15679 - IN(3)  *  4756 + (1 << 13)) >> 14;
1022
+    t12a = (IN(13) *  4756 + IN(3)  * 15679 + (1 << 13)) >> 14;
1023
+
1024
+    t0  = t0a  + t3a;
1025
+    t1  = t1a  + t2a;
1026
+    t2  = t1a  - t2a;
1027
+    t3  = t0a  - t3a;
1028
+    t4  = t4a  + t5a;
1029
+    t5  = t4a  - t5a;
1030
+    t6  = t7a  - t6a;
1031
+    t7  = t7a  + t6a;
1032
+    t8  = t8a  + t9a;
1033
+    t9  = t8a  - t9a;
1034
+    t10 = t11a - t10a;
1035
+    t11 = t11a + t10a;
1036
+    t12 = t12a + t13a;
1037
+    t13 = t12a - t13a;
1038
+    t14 = t15a - t14a;
1039
+    t15 = t15a + t14a;
1040
+
1041
+    t5a  = ((t6 - t5) * 11585 + (1 << 13)) >> 14;
1042
+    t6a  = ((t6 + t5) * 11585 + (1 << 13)) >> 14;
1043
+    t9a  = (  t14 *  6270 - t9  * 15137  + (1 << 13)) >> 14;
1044
+    t14a = (  t14 * 15137 + t9  *  6270  + (1 << 13)) >> 14;
1045
+    t10a = (-(t13 * 15137 + t10 *  6270) + (1 << 13)) >> 14;
1046
+    t13a = (  t13 *  6270 - t10 * 15137  + (1 << 13)) >> 14;
1047
+
1048
+    t0a  = t0   + t7;
1049
+    t1a  = t1   + t6a;
1050
+    t2a  = t2   + t5a;
1051
+    t3a  = t3   + t4;
1052
+    t4   = t3   - t4;
1053
+    t5   = t2   - t5a;
1054
+    t6   = t1   - t6a;
1055
+    t7   = t0   - t7;
1056
+    t8a  = t8   + t11;
1057
+    t9   = t9a  + t10a;
1058
+    t10  = t9a  - t10a;
1059
+    t11a = t8   - t11;
1060
+    t12a = t15  - t12;
1061
+    t13  = t14a - t13a;
1062
+    t14  = t14a + t13a;
1063
+    t15a = t15  + t12;
1064
+
1065
+    t10a = ((t13  - t10)  * 11585 + (1 << 13)) >> 14;
1066
+    t13a = ((t13  + t10)  * 11585 + (1 << 13)) >> 14;
1067
+    t11  = ((t12a - t11a) * 11585 + (1 << 13)) >> 14;
1068
+    t12  = ((t12a + t11a) * 11585 + (1 << 13)) >> 14;
1069
+
1070
+    out[ 0] = t0a + t15a;
1071
+    out[ 1] = t1a + t14;
1072
+    out[ 2] = t2a + t13a;
1073
+    out[ 3] = t3a + t12;
1074
+    out[ 4] = t4  + t11;
1075
+    out[ 5] = t5  + t10a;
1076
+    out[ 6] = t6  + t9;
1077
+    out[ 7] = t7  + t8a;
1078
+    out[ 8] = t7  - t8a;
1079
+    out[ 9] = t6  - t9;
1080
+    out[10] = t5  - t10a;
1081
+    out[11] = t4  - t11;
1082
+    out[12] = t3a - t12;
1083
+    out[13] = t2a - t13a;
1084
+    out[14] = t1a - t14;
1085
+    out[15] = t0a - t15a;
1086
+}
1087
+
1088
+static av_always_inline void iadst16_1d(const int16_t *in, ptrdiff_t stride,
1089
+                                        int16_t *out, int pass)
1090
+{
1091
+    int t0, t1, t2, t3, t4, t5, t6, t7, t8, t9, t10, t11, t12, t13, t14, t15;
1092
+    int t0a, t1a, t2a, t3a, t4a, t5a, t6a, t7a;
1093
+    int t8a, t9a, t10a, t11a, t12a, t13a, t14a, t15a;
1094
+
1095
+    t0  = IN(15) * 16364 + IN(0)  *   804;
1096
+    t1  = IN(15) *   804 - IN(0)  * 16364;
1097
+    t2  = IN(13) * 15893 + IN(2)  *  3981;
1098
+    t3  = IN(13) *  3981 - IN(2)  * 15893;
1099
+    t4  = IN(11) * 14811 + IN(4)  *  7005;
1100
+    t5  = IN(11) *  7005 - IN(4)  * 14811;
1101
+    t6  = IN(9)  * 13160 + IN(6)  *  9760;
1102
+    t7  = IN(9)  *  9760 - IN(6)  * 13160;
1103
+    t8  = IN(7)  * 11003 + IN(8)  * 12140;
1104
+    t9  = IN(7)  * 12140 - IN(8)  * 11003;
1105
+    t10 = IN(5)  *  8423 + IN(10) * 14053;
1106
+    t11 = IN(5)  * 14053 - IN(10) *  8423;
1107
+    t12 = IN(3)  *  5520 + IN(12) * 15426;
1108
+    t13 = IN(3)  * 15426 - IN(12) *  5520;
1109
+    t14 = IN(1)  *  2404 + IN(14) * 16207;
1110
+    t15 = IN(1)  * 16207 - IN(14) *  2404;
1111
+
1112
+    t0a  = (t0 + t8  + (1 << 13)) >> 14;
1113
+    t1a  = (t1 + t9  + (1 << 13)) >> 14;
1114
+    t2a  = (t2 + t10 + (1 << 13)) >> 14;
1115
+    t3a  = (t3 + t11 + (1 << 13)) >> 14;
1116
+    t4a  = (t4 + t12 + (1 << 13)) >> 14;
1117
+    t5a  = (t5 + t13 + (1 << 13)) >> 14;
1118
+    t6a  = (t6 + t14 + (1 << 13)) >> 14;
1119
+    t7a  = (t7 + t15 + (1 << 13)) >> 14;
1120
+    t8a  = (t0 - t8  + (1 << 13)) >> 14;
1121
+    t9a  = (t1 - t9  + (1 << 13)) >> 14;
1122
+    t10a = (t2 - t10 + (1 << 13)) >> 14;
1123
+    t11a = (t3 - t11 + (1 << 13)) >> 14;
1124
+    t12a = (t4 - t12 + (1 << 13)) >> 14;
1125
+    t13a = (t5 - t13 + (1 << 13)) >> 14;
1126
+    t14a = (t6 - t14 + (1 << 13)) >> 14;
1127
+    t15a = (t7 - t15 + (1 << 13)) >> 14;
1128
+
1129
+    t8   = t8a  * 16069 + t9a  *  3196;
1130
+    t9   = t8a  *  3196 - t9a  * 16069;
1131
+    t10  = t10a *  9102 + t11a * 13623;
1132
+    t11  = t10a * 13623 - t11a *  9102;
1133
+    t12  = t13a * 16069 - t12a *  3196;
1134
+    t13  = t13a *  3196 + t12a * 16069;
1135
+    t14  = t15a *  9102 - t14a * 13623;
1136
+    t15  = t15a * 13623 + t14a *  9102;
1137
+
1138
+    t0   = t0a + t4a;
1139
+    t1   = t1a + t5a;
1140
+    t2   = t2a + t6a;
1141
+    t3   = t3a + t7a;
1142
+    t4   = t0a - t4a;
1143
+    t5   = t1a - t5a;
1144
+    t6   = t2a - t6a;
1145
+    t7   = t3a - t7a;
1146
+    t8a  = (t8  + t12 + (1 << 13)) >> 14;
1147
+    t9a  = (t9  + t13 + (1 << 13)) >> 14;
1148
+    t10a = (t10 + t14 + (1 << 13)) >> 14;
1149
+    t11a = (t11 + t15 + (1 << 13)) >> 14;
1150
+    t12a = (t8  - t12 + (1 << 13)) >> 14;
1151
+    t13a = (t9  - t13 + (1 << 13)) >> 14;
1152
+    t14a = (t10 - t14 + (1 << 13)) >> 14;
1153
+    t15a = (t11 - t15 + (1 << 13)) >> 14;
1154
+
1155
+    t4a  = t4 * 15137 + t5 *  6270;
1156
+    t5a  = t4 *  6270 - t5 * 15137;
1157
+    t6a  = t7 * 15137 - t6 *  6270;
1158
+    t7a  = t7 *  6270 + t6 * 15137;
1159
+    t12  = t12a * 15137 + t13a *  6270;
1160
+    t13  = t12a *  6270 - t13a * 15137;
1161
+    t14  = t15a * 15137 - t14a *  6270;
1162
+    t15  = t15a *  6270 + t14a * 15137;
1163
+
1164
+    out[ 0] =   t0 + t2;
1165
+    out[15] = -(t1 + t3);
1166
+    t2a     =   t0 - t2;
1167
+    t3a     =   t1 - t3;
1168
+    out[ 3] = -((t4a + t6a + (1 << 13)) >> 14);
1169
+    out[12] =   (t5a + t7a + (1 << 13)) >> 14;
1170
+    t6      =   (t4a - t6a + (1 << 13)) >> 14;
1171
+    t7      =   (t5a - t7a + (1 << 13)) >> 14;
1172
+    out[ 1] = -(t8a + t10a);
1173
+    out[14] =   t9a + t11a;
1174
+    t10     =   t8a - t10a;
1175
+    t11     =   t9a - t11a;
1176
+    out[ 2] =   (t12 + t14 + (1 << 13)) >> 14;
1177
+    out[13] = -((t13 + t15 + (1 << 13)) >> 14);
1178
+    t14a    =   (t12 - t14 + (1 << 13)) >> 14;
1179
+    t15a    =   (t13 - t15 + (1 << 13)) >> 14;
1180
+
1181
+    out[ 7] = ((t2a  + t3a)  * -11585 + (1 << 13)) >> 14;
1182
+    out[ 8] = ((t2a  - t3a)  *  11585 + (1 << 13)) >> 14;
1183
+    out[ 4] = ((t7   + t6)   *  11585 + (1 << 13)) >> 14;
1184
+    out[11] = ((t7   - t6)   *  11585 + (1 << 13)) >> 14;
1185
+    out[ 6] = ((t11  + t10)  *  11585 + (1 << 13)) >> 14;
1186
+    out[ 9] = ((t11  - t10)  *  11585 + (1 << 13)) >> 14;
1187
+    out[ 5] = ((t14a + t15a) * -11585 + (1 << 13)) >> 14;
1188
+    out[10] = ((t14a - t15a) *  11585 + (1 << 13)) >> 14;
1189
+}
1190
+
1191
+itxfm_wrap(16, 6)
1192
+
1193
+static av_always_inline void idct32_1d(const int16_t *in, ptrdiff_t stride,
1194
+                                       int16_t *out, int pass)
1195
+{
1196
+    int t0a  = ((IN(0) + IN(16)) * 11585 + (1 << 13)) >> 14;
1197
+    int t1a  = ((IN(0) - IN(16)) * 11585 + (1 << 13)) >> 14;
1198
+    int t2a  = (IN( 8) *  6270 - IN(24) * 15137 + (1 << 13)) >> 14;
1199
+    int t3a  = (IN( 8) * 15137 + IN(24) *  6270 + (1 << 13)) >> 14;
1200
+    int t4a  = (IN( 4) *  3196 - IN(28) * 16069 + (1 << 13)) >> 14;
1201
+    int t7a  = (IN( 4) * 16069 + IN(28) *  3196 + (1 << 13)) >> 14;
1202
+    int t5a  = (IN(20) * 13623 - IN(12) *  9102 + (1 << 13)) >> 14;
1203
+    int t6a  = (IN(20) *  9102 + IN(12) * 13623 + (1 << 13)) >> 14;
1204
+    int t8a  = (IN( 2) *  1606 - IN(30) * 16305 + (1 << 13)) >> 14;
1205
+    int t15a = (IN( 2) * 16305 + IN(30) *  1606 + (1 << 13)) >> 14;
1206
+    int t9a  = (IN(18) * 12665 - IN(14) * 10394 + (1 << 13)) >> 14;
1207
+    int t14a = (IN(18) * 10394 + IN(14) * 12665 + (1 << 13)) >> 14;
1208
+    int t10a = (IN(10) *  7723 - IN(22) * 14449 + (1 << 13)) >> 14;
1209
+    int t13a = (IN(10) * 14449 + IN(22) *  7723 + (1 << 13)) >> 14;
1210
+    int t11a = (IN(26) * 15679 - IN( 6) *  4756 + (1 << 13)) >> 14;
1211
+    int t12a = (IN(26) *  4756 + IN( 6) * 15679 + (1 << 13)) >> 14;
1212
+    int t16a = (IN( 1) *   804 - IN(31) * 16364 + (1 << 13)) >> 14;
1213
+    int t31a = (IN( 1) * 16364 + IN(31) *   804 + (1 << 13)) >> 14;
1214
+    int t17a = (IN(17) * 12140 - IN(15) * 11003 + (1 << 13)) >> 14;
1215
+    int t30a = (IN(17) * 11003 + IN(15) * 12140 + (1 << 13)) >> 14;
1216
+    int t18a = (IN( 9) *  7005 - IN(23) * 14811 + (1 << 13)) >> 14;
1217
+    int t29a = (IN( 9) * 14811 + IN(23) *  7005 + (1 << 13)) >> 14;
1218
+    int t19a = (IN(25) * 15426 - IN( 7) *  5520 + (1 << 13)) >> 14;
1219
+    int t28a = (IN(25) *  5520 + IN( 7) * 15426 + (1 << 13)) >> 14;
1220
+    int t20a = (IN( 5) *  3981 - IN(27) * 15893 + (1 << 13)) >> 14;
1221
+    int t27a = (IN( 5) * 15893 + IN(27) *  3981 + (1 << 13)) >> 14;
1222
+    int t21a = (IN(21) * 14053 - IN(11) *  8423 + (1 << 13)) >> 14;
1223
+    int t26a = (IN(21) *  8423 + IN(11) * 14053 + (1 << 13)) >> 14;
1224
+    int t22a = (IN(13) *  9760 - IN(19) * 13160 + (1 << 13)) >> 14;
1225
+    int t25a = (IN(13) * 13160 + IN(19) *  9760 + (1 << 13)) >> 14;
1226
+    int t23a = (IN(29) * 16207 - IN( 3) *  2404 + (1 << 13)) >> 14;
1227
+    int t24a = (IN(29) *  2404 + IN( 3) * 16207 + (1 << 13)) >> 14;
1228
+
1229
+    int t0  = t0a  + t3a;
1230
+    int t1  = t1a  + t2a;
1231
+    int t2  = t1a  - t2a;
1232
+    int t3  = t0a  - t3a;
1233
+    int t4  = t4a  + t5a;
1234
+    int t5  = t4a  - t5a;
1235
+    int t6  = t7a  - t6a;
1236
+    int t7  = t7a  + t6a;
1237
+    int t8  = t8a  + t9a;
1238
+    int t9  = t8a  - t9a;
1239
+    int t10 = t11a - t10a;
1240
+    int t11 = t11a + t10a;
1241
+    int t12 = t12a + t13a;
1242
+    int t13 = t12a - t13a;
1243
+    int t14 = t15a - t14a;
1244
+    int t15 = t15a + t14a;
1245
+    int t16 = t16a + t17a;
1246
+    int t17 = t16a - t17a;
1247
+    int t18 = t19a - t18a;
1248
+    int t19 = t19a + t18a;
1249
+    int t20 = t20a + t21a;
1250
+    int t21 = t20a - t21a;
1251
+    int t22 = t23a - t22a;
1252
+    int t23 = t23a + t22a;
1253
+    int t24 = t24a + t25a;
1254
+    int t25 = t24a - t25a;
1255
+    int t26 = t27a - t26a;
1256
+    int t27 = t27a + t26a;
1257
+    int t28 = t28a + t29a;
1258
+    int t29 = t28a - t29a;
1259
+    int t30 = t31a - t30a;
1260
+    int t31 = t31a + t30a;
1261
+
1262
+    t5a = ((t6 - t5) * 11585 + (1 << 13)) >> 14;
1263
+    t6a = ((t6 + t5) * 11585 + (1 << 13)) >> 14;
1264
+    t9a  = (  t14 *  6270 - t9  * 15137  + (1 << 13)) >> 14;
1265
+    t14a = (  t14 * 15137 + t9  *  6270  + (1 << 13)) >> 14;
1266
+    t10a = (-(t13 * 15137 + t10 *  6270) + (1 << 13)) >> 14;
1267
+    t13a = (  t13 *  6270 - t10 * 15137  + (1 << 13)) >> 14;
1268
+    t17a = (  t30 *  3196 - t17 * 16069  + (1 << 13)) >> 14;
1269
+    t30a = (  t30 * 16069 + t17 *  3196  + (1 << 13)) >> 14;
1270
+    t18a = (-(t29 * 16069 + t18 *  3196) + (1 << 13)) >> 14;
1271
+    t29a = (  t29 *  3196 - t18 * 16069  + (1 << 13)) >> 14;
1272
+    t21a = (  t26 * 13623 - t21 *  9102  + (1 << 13)) >> 14;
1273
+    t26a = (  t26 *  9102 + t21 * 13623  + (1 << 13)) >> 14;
1274
+    t22a = (-(t25 *  9102 + t22 * 13623) + (1 << 13)) >> 14;
1275
+    t25a = (  t25 * 13623 - t22 *  9102  + (1 << 13)) >> 14;
1276
+
1277
+    t0a  = t0   + t7;
1278
+    t1a  = t1   + t6a;
1279
+    t2a  = t2   + t5a;
1280
+    t3a  = t3   + t4;
1281
+    t4a  = t3   - t4;
1282
+    t5   = t2   - t5a;
1283
+    t6   = t1   - t6a;
1284
+    t7a  = t0   - t7;
1285
+    t8a  = t8   + t11;
1286
+    t9   = t9a  + t10a;
1287
+    t10  = t9a  - t10a;
1288
+    t11a = t8   - t11;
1289
+    t12a = t15  - t12;
1290
+    t13  = t14a - t13a;
1291
+    t14  = t14a + t13a;
1292
+    t15a = t15  + t12;
1293
+    t16a = t16  + t19;
1294
+    t17  = t17a + t18a;
1295
+    t18  = t17a - t18a;
1296
+    t19a = t16  - t19;
1297
+    t20a = t23  - t20;
1298
+    t21  = t22a - t21a;
1299
+    t22  = t22a + t21a;
1300
+    t23a = t23  + t20;
1301
+    t24a = t24  + t27;
1302
+    t25  = t25a + t26a;
1303
+    t26  = t25a - t26a;
1304
+    t27a = t24  - t27;
1305
+    t28a = t31  - t28;
1306
+    t29  = t30a - t29a;
1307
+    t30  = t30a + t29a;
1308
+    t31a = t31  + t28;
1309
+
1310
+    t10a = ((t13  - t10)  * 11585 + (1 << 13)) >> 14;
1311
+    t13a = ((t13  + t10)  * 11585 + (1 << 13)) >> 14;
1312
+    t11  = ((t12a - t11a) * 11585 + (1 << 13)) >> 14;
1313
+    t12  = ((t12a + t11a) * 11585 + (1 << 13)) >> 14;
1314
+    t18a = (  t29  *  6270 - t18  * 15137  + (1 << 13)) >> 14;
1315
+    t29a = (  t29  * 15137 + t18  *  6270  + (1 << 13)) >> 14;
1316
+    t19  = (  t28a *  6270 - t19a * 15137  + (1 << 13)) >> 14;
1317
+    t28  = (  t28a * 15137 + t19a *  6270  + (1 << 13)) >> 14;
1318
+    t20  = (-(t27a * 15137 + t20a *  6270) + (1 << 13)) >> 14;
1319
+    t27  = (  t27a *  6270 - t20a * 15137  + (1 << 13)) >> 14;
1320
+    t21a = (-(t26  * 15137 + t21  *  6270) + (1 << 13)) >> 14;
1321
+    t26a = (  t26  *  6270 - t21  * 15137  + (1 << 13)) >> 14;
1322
+
1323
+    t0   = t0a + t15a;
1324
+    t1   = t1a + t14;
1325
+    t2   = t2a + t13a;
1326
+    t3   = t3a + t12;
1327
+    t4   = t4a + t11;
1328
+    t5a  = t5  + t10a;
1329
+    t6a  = t6  + t9;
1330
+    t7   = t7a + t8a;
1331
+    t8   = t7a - t8a;
1332
+    t9a  = t6  - t9;
1333
+    t10  = t5  - t10a;
1334
+    t11a = t4a - t11;
1335
+    t12a = t3a - t12;
1336
+    t13  = t2a - t13a;
1337
+    t14a = t1a - t14;
1338
+    t15  = t0a - t15a;
1339
+    t16  = t16a + t23a;
1340
+    t17a = t17  + t22;
1341
+    t18  = t18a + t21a;
1342
+    t19a = t19  + t20;
1343
+    t20a = t19  - t20;
1344
+    t21  = t18a - t21a;
1345
+    t22a = t17  - t22;
1346
+    t23  = t16a - t23a;
1347
+    t24  = t31a - t24a;
1348
+    t25a = t30  - t25;
1349
+    t26  = t29a - t26a;
1350
+    t27a = t28  - t27;
1351
+    t28a = t28  + t27;
1352
+    t29  = t29a + t26a;
1353
+    t30a = t30  + t25;
1354
+    t31  = t31a + t24a;
1355
+
1356
+    t20  = ((t27a - t20a) * 11585 + (1 << 13)) >> 14;
1357
+    t27  = ((t27a + t20a) * 11585 + (1 << 13)) >> 14;
1358
+    t21a = ((t26  - t21 ) * 11585 + (1 << 13)) >> 14;
1359
+    t26a = ((t26  + t21 ) * 11585 + (1 << 13)) >> 14;
1360
+    t22  = ((t25a - t22a) * 11585 + (1 << 13)) >> 14;
1361
+    t25  = ((t25a + t22a) * 11585 + (1 << 13)) >> 14;
1362
+    t23a = ((t24  - t23 ) * 11585 + (1 << 13)) >> 14;
1363
+    t24a = ((t24  + t23 ) * 11585 + (1 << 13)) >> 14;
1364
+
1365
+    out[ 0] = t0   + t31;
1366
+    out[ 1] = t1   + t30a;
1367
+    out[ 2] = t2   + t29;
1368
+    out[ 3] = t3   + t28a;
1369
+    out[ 4] = t4   + t27;
1370
+    out[ 5] = t5a  + t26a;
1371
+    out[ 6] = t6a  + t25;
1372
+    out[ 7] = t7   + t24a;
1373
+    out[ 8] = t8   + t23a;
1374
+    out[ 9] = t9a  + t22;
1375
+    out[10] = t10  + t21a;
1376
+    out[11] = t11a + t20;
1377
+    out[12] = t12a + t19a;
1378
+    out[13] = t13  + t18;
1379
+    out[14] = t14a + t17a;
1380
+    out[15] = t15  + t16;
1381
+    out[16] = t15  - t16;
1382
+    out[17] = t14a - t17a;
1383
+    out[18] = t13  - t18;
1384
+    out[19] = t12a - t19a;
1385
+    out[20] = t11a - t20;
1386
+    out[21] = t10  - t21a;
1387
+    out[22] = t9a  - t22;
1388
+    out[23] = t8   - t23a;
1389
+    out[24] = t7   - t24a;
1390
+    out[25] = t6a  - t25;
1391
+    out[26] = t5a  - t26a;
1392
+    out[27] = t4   - t27;
1393
+    out[28] = t3   - t28a;
1394
+    out[29] = t2   - t29;
1395
+    out[30] = t1   - t30a;
1396
+    out[31] = t0   - t31;
1397
+}
1398
+
1399
+itxfm_wrapper(idct, idct, 32, 6)
1400
+
1401
+static av_always_inline void iwht4_1d(const int16_t *in, ptrdiff_t stride,
1402
+                                      int16_t *out, int pass)
1403
+{
1404
+    int t0, t1, t2, t3, t4;
1405
+
1406
+    if (pass == 0) {
1407
+        t0 = IN(0) >> 2;
1408
+        t1 = IN(3) >> 2;
1409
+        t2 = IN(1) >> 2;
1410
+        t3 = IN(2) >> 2;
1411
+    } else {
1412
+        t0 = IN(0);
1413
+        t1 = IN(3);
1414
+        t2 = IN(1);
1415
+        t3 = IN(2);
1416
+    }
1417
+
1418
+    t0 += t2;
1419
+    t3 -= t1;
1420
+    t4 = (t0 - t3) >> 1;
1421
+    t1 = t4 - t1;
1422
+    t2 = t4 - t2;
1423
+    t0 -= t1;
1424
+    t3 += t2;
1425
+
1426
+    out[0] = t0;
1427
+    out[1] = t1;
1428
+    out[2] = t2;
1429
+    out[3] = t3;
1430
+}
1431
+
1432
+itxfm_wrapper(iwht, iwht, 4, 0)
1433
+
1434
+#undef IN
1435
+#undef itxfm_wrapper
1436
+#undef itxfm_wrap
1437
+
1438
+static av_cold void vp9dsp_itxfm_init(VP9DSPContext *dsp)
1439
+{
1440
+#define init_itxfm(tx, sz) \
1441
+    dsp->itxfm_add[tx][DCT_DCT]   = idct_idct_##sz##_add_c; \
1442
+    dsp->itxfm_add[tx][DCT_ADST]  = iadst_idct_##sz##_add_c; \
1443
+    dsp->itxfm_add[tx][ADST_DCT]  = idct_iadst_##sz##_add_c; \
1444
+    dsp->itxfm_add[tx][ADST_ADST] = iadst_iadst_##sz##_add_c
1445
+
1446
+#define init_idct(tx, nm) \
1447
+    dsp->itxfm_add[tx][DCT_DCT]   = \
1448
+    dsp->itxfm_add[tx][ADST_DCT]  = \
1449
+    dsp->itxfm_add[tx][DCT_ADST]  = \
1450
+    dsp->itxfm_add[tx][ADST_ADST] = nm##_add_c
1451
+
1452
+    init_itxfm(TX_4X4,   4x4);
1453
+    init_itxfm(TX_8X8,   8x8);
1454
+    init_itxfm(TX_16X16, 16x16);
1455
+    init_idct(TX_32X32,  idct_idct_32x32);
1456
+    init_idct(4 /* lossless */, iwht_iwht_4x4);
1457
+
1458
+#undef init_itxfm
1459
+#undef init_idct
1460
+}
1461
+
1462
+static av_always_inline void loop_filter(uint8_t *dst,  ptrdiff_t stride,
1463
+                                         int E, int I, int H,
1464
+                                         ptrdiff_t stridea, ptrdiff_t strideb,
1465
+                                         int wd)
1466
+{
1467
+    int i;
1468
+
1469
+    for (i = 0; i < 8; i++, dst += stridea) {
1470
+        int p7, p6, p5, p4;
1471
+        int p3 = dst[strideb * -4], p2 = dst[strideb * -3];
1472
+        int p1 = dst[strideb * -2], p0 = dst[strideb * -1];
1473
+        int q0 = dst[strideb * +0], q1 = dst[strideb * +1];
1474
+        int q2 = dst[strideb * +2], q3 = dst[strideb * +3];
1475
+        int q4, q5, q6, q7;
1476
+        int fm = FFABS(p3 - p2) <= I && FFABS(p2 - p1) <= I &&
1477
+                 FFABS(p1 - p0) <= I && FFABS(q1 - q0) <= I &&
1478
+                 FFABS(q2 - q1) <= I && FFABS(q3 - q2) <= I &&
1479
+                 FFABS(p0 - q0) * 2 + (FFABS(p1 - q1) >> 1) <= E;
1480
+        int flat8out, flat8in;
1481
+
1482
+        if (!fm)
1483
+            continue;
1484
+
1485
+        if (wd >= 16) {
1486
+            p7 = dst[strideb * -8];
1487
+            p6 = dst[strideb * -7];
1488
+            p5 = dst[strideb * -6];
1489
+            p4 = dst[strideb * -5];
1490
+            q4 = dst[strideb * +4];
1491
+            q5 = dst[strideb * +5];
1492
+            q6 = dst[strideb * +6];
1493
+            q7 = dst[strideb * +7];
1494
+
1495
+            flat8out = FFABS(p7 - p0) <= 1 && FFABS(p6 - p0) <= 1 &&
1496
+                       FFABS(p5 - p0) <= 1 && FFABS(p4 - p0) <= 1 &&
1497
+                       FFABS(q4 - q0) <= 1 && FFABS(q5 - q0) <= 1 &&
1498
+                       FFABS(q6 - q0) <= 1 && FFABS(q7 - q0) <= 1;
1499
+        }
1500
+
1501
+        if (wd >= 8)
1502
+            flat8in = FFABS(p3 - p0) <= 1 && FFABS(p2 - p0) <= 1 &&
1503
+                      FFABS(p1 - p0) <= 1 && FFABS(q1 - q0) <= 1 &&
1504
+                      FFABS(q2 - q0) <= 1 && FFABS(q3 - q0) <= 1;
1505
+
1506
+        if (wd >= 16 && flat8out && flat8in) {
1507
+            dst[strideb * -7] = (p7 + p7 + p7 + p7 + p7 + p7 + p7 + p6 * 2 +
1508
+                                 p5 + p4 + p3 + p2 + p1 + p0 + q0 + 8) >> 4;
1509
+            dst[strideb * -6] = (p7 + p7 + p7 + p7 + p7 + p7 + p6 + p5 * 2 +
1510
+                                 p4 + p3 + p2 + p1 + p0 + q0 + q1 + 8) >> 4;
1511
+            dst[strideb * -5] = (p7 + p7 + p7 + p7 + p7 + p6 + p5 + p4 * 2 +
1512
+                                 p3 + p2 + p1 + p0 + q0 + q1 + q2 + 8) >> 4;
1513
+            dst[strideb * -4] = (p7 + p7 + p7 + p7 + p6 + p5 + p4 + p3 * 2 +
1514
+                                 p2 + p1 + p0 + q0 + q1 + q2 + q3 + 8) >> 4;
1515
+            dst[strideb * -3] = (p7 + p7 + p7 + p6 + p5 + p4 + p3 + p2 * 2 +
1516
+                                 p1 + p0 + q0 + q1 + q2 + q3 + q4 + 8) >> 4;
1517
+            dst[strideb * -2] = (p7 + p7 + p6 + p5 + p4 + p3 + p2 + p1 * 2 +
1518
+                                 p0 + q0 + q1 + q2 + q3 + q4 + q5 + 8) >> 4;
1519
+            dst[strideb * -1] = (p7 + p6 + p5 + p4 + p3 + p2 + p1 + p0 * 2 +
1520
+                                 q0 + q1 + q2 + q3 + q4 + q5 + q6 + 8) >> 4;
1521
+            dst[strideb * +0] = (p6 + p5 + p4 + p3 + p2 + p1 + p0 + q0 * 2 +
1522
+                                 q1 + q2 + q3 + q4 + q5 + q6 + q7 + 8) >> 4;
1523
+            dst[strideb * +1] = (p5 + p4 + p3 + p2 + p1 + p0 + q0 + q1 * 2 +
1524
+                                 q2 + q3 + q4 + q5 + q6 + q7 + q7 + 8) >> 4;
1525
+            dst[strideb * +2] = (p4 + p3 + p2 + p1 + p0 + q0 + q1 + q2 * 2 +
1526
+                                 q3 + q4 + q5 + q6 + q7 + q7 + q7 + 8) >> 4;
1527
+            dst[strideb * +3] = (p3 + p2 + p1 + p0 + q0 + q1 + q2 + q3 * 2 +
1528
+                                 q4 + q5 + q6 + q7 + q7 + q7 + q7 + 8) >> 4;
1529
+            dst[strideb * +4] = (p2 + p1 + p0 + q0 + q1 + q2 + q3 + q4 * 2 +
1530
+                                 q5 + q6 + q7 + q7 + q7 + q7 + q7 + 8) >> 4;
1531
+            dst[strideb * +5] = (p1 + p0 + q0 + q1 + q2 + q3 + q4 + q5 * 2 +
1532
+                                 q6 + q7 + q7 + q7 + q7 + q7 + q7 + 8) >> 4;
1533
+            dst[strideb * +6] = (p0 + q0 + q1 + q2 + q3 + q4 + q5 + q6 * 2 +
1534
+                                 q7 + q7 + q7 + q7 + q7 + q7 + q7 + 8) >> 4;
1535
+        } else if (wd >= 8 && flat8in) {
1536
+            dst[strideb * -3] = (p3 + p3 + p3 + 2 * p2 + p1 + p0 + q0 + 4) >> 3;
1537
+            dst[strideb * -2] = (p3 + p3 + p2 + 2 * p1 + p0 + q0 + q1 + 4) >> 3;
1538
+            dst[strideb * -1] = (p3 + p2 + p1 + 2 * p0 + q0 + q1 + q2 + 4) >> 3;
1539
+            dst[strideb * +0] = (p2 + p1 + p0 + 2 * q0 + q1 + q2 + q3 + 4) >> 3;
1540
+            dst[strideb * +1] = (p1 + p0 + q0 + 2 * q1 + q2 + q3 + q3 + 4) >> 3;
1541
+            dst[strideb * +2] = (p0 + q0 + q1 + 2 * q2 + q3 + q3 + q3 + 4) >> 3;
1542
+        } else {
1543
+            int hev = FFABS(p1 - p0) > H || FFABS(q1 - q0) > H;
1544
+
1545
+            if (hev) {
1546
+                int f = av_clip_int8(3 * (q0 - p0) + av_clip_int8(p1 - q1)), f1, f2;
1547
+
1548
+                f1 = FFMIN(f + 4, 127) >> 3;
1549
+                f2 = FFMIN(f + 3, 127) >> 3;
1550
+
1551
+                dst[strideb * -1] = av_clip_uint8(p0 + f2);
1552
+                dst[strideb * +0] = av_clip_uint8(q0 - f1);
1553
+            } else {
1554
+                int f = av_clip_int8(3 * (q0 - p0)), f1, f2;
1555
+
1556
+                f1 = FFMIN(f + 4, 127) >> 3;
1557
+                f2 = FFMIN(f + 3, 127) >> 3;
1558
+
1559
+                dst[strideb * -1] = av_clip_uint8(p0 + f2);
1560
+                dst[strideb * +0] = av_clip_uint8(q0 - f1);
1561
+
1562
+                f = (f1 + 1) >> 1;
1563
+                dst[strideb * -2] = av_clip_uint8(p1 + f);
1564
+                dst[strideb * +1] = av_clip_uint8(q1 - f);
1565
+            }
1566
+        }
1567
+    }
1568
+}
1569
+
1570
+#define lf_8_fn(dir, wd, stridea, strideb) \
1571
+static void loop_filter_##dir##_##wd##_8_c(uint8_t *dst, \
1572
+                                           ptrdiff_t stride, \
1573
+                                           int E, int I, int H) \
1574
+{ \
1575
+    loop_filter(dst, stride, E, I, H, stridea, strideb, wd); \
1576
+}
1577
+
1578
+#define lf_8_fns(wd) \
1579
+lf_8_fn(h, wd, stride, 1) \
1580
+lf_8_fn(v, wd, 1, stride)
1581
+
1582
+lf_8_fns(4)
1583
+lf_8_fns(8)
1584
+lf_8_fns(16)
1585
+
1586
+#undef lf_8_fn
1587
+#undef lf_8_fns
1588
+
1589
+#define lf_16_fn(dir, stridea) \
1590
+static void loop_filter_##dir##_16_16_c(uint8_t *dst, \
1591
+                                        ptrdiff_t stride, \
1592
+                                        int E, int I, int H) \
1593
+{ \
1594
+    loop_filter_##dir##_16_8_c(dst, stride, E, I, H); \
1595
+    loop_filter_##dir##_16_8_c(dst + 8 * stridea, stride, E, I, H); \
1596
+}
1597
+
1598
+lf_16_fn(h, stride)
1599
+lf_16_fn(v, 1)
1600
+
1601
+#undef lf_16_fn
1602
+
1603
+#define lf_mix_fn(dir, wd1, wd2, stridea) \
1604
+static void loop_filter_##dir##_##wd1##wd2##_16_c(uint8_t *dst, \
1605
+                                                  ptrdiff_t stride, \
1606
+                                                  int E, int I, int H) \
1607
+{ \
1608
+    loop_filter_##dir##_##wd1##_8_c(dst, stride, E & 0xff, I & 0xff, H & 0xff); \
1609
+    loop_filter_##dir##_##wd2##_8_c(dst + 8 * stridea, stride, E >> 8, I >> 8, H >> 8); \
1610
+}
1611
+
1612
+#define lf_mix_fns(wd1, wd2) \
1613
+lf_mix_fn(h, wd1, wd2, stride) \
1614
+lf_mix_fn(v, wd1, wd2, 1)
1615
+
1616
+lf_mix_fns(4, 4)
1617
+lf_mix_fns(4, 8)
1618
+lf_mix_fns(8, 4)
1619
+lf_mix_fns(8, 8)
1620
+
1621
+#undef lf_mix_fn
1622
+#undef lf_mix_fns
1623
+
1624
+static av_cold void vp9dsp_loopfilter_init(VP9DSPContext *dsp)
1625
+{
1626
+    dsp->loop_filter_8[0][0] = loop_filter_h_4_8_c;
1627
+    dsp->loop_filter_8[0][1] = loop_filter_v_4_8_c;
1628
+    dsp->loop_filter_8[1][0] = loop_filter_h_8_8_c;
1629
+    dsp->loop_filter_8[1][1] = loop_filter_v_8_8_c;
1630
+    dsp->loop_filter_8[2][0] = loop_filter_h_16_8_c;
1631
+    dsp->loop_filter_8[2][1] = loop_filter_v_16_8_c;
1632
+
1633
+    dsp->loop_filter_16[0] = loop_filter_h_16_16_c;
1634
+    dsp->loop_filter_16[1] = loop_filter_v_16_16_c;
1635
+
1636
+    dsp->loop_filter_mix2[0][0][0] = loop_filter_h_44_16_c;
1637
+    dsp->loop_filter_mix2[0][0][1] = loop_filter_v_44_16_c;
1638
+    dsp->loop_filter_mix2[0][1][0] = loop_filter_h_48_16_c;
1639
+    dsp->loop_filter_mix2[0][1][1] = loop_filter_v_48_16_c;
1640
+    dsp->loop_filter_mix2[1][0][0] = loop_filter_h_84_16_c;
1641
+    dsp->loop_filter_mix2[1][0][1] = loop_filter_v_84_16_c;
1642
+    dsp->loop_filter_mix2[1][1][0] = loop_filter_h_88_16_c;
1643
+    dsp->loop_filter_mix2[1][1][1] = loop_filter_v_88_16_c;
1644
+}
1645
+
1646
+static av_always_inline void copy_c(uint8_t *dst, ptrdiff_t dst_stride,
1647
+                                    const uint8_t *src, ptrdiff_t src_stride,
1648
+                                    int w, int h)
1649
+{
1650
+    do {
1651
+        memcpy(dst, src, w);
1652
+
1653
+        dst += dst_stride;
1654
+        src += src_stride;
1655
+    } while (--h);
1656
+}
1657
+
1658
+static av_always_inline void avg_c(uint8_t *dst, ptrdiff_t dst_stride,
1659
+                                   const uint8_t *src, ptrdiff_t src_stride,
1660
+                                   int w, int h)
1661
+{
1662
+    do {
1663
+        int x;
1664
+
1665
+        for (x = 0; x < w; x += 4)
1666
+            AV_WN32A(&dst[x], rnd_avg32(AV_RN32A(&dst[x]), AV_RN32(&src[x])));
1667
+
1668
+        dst += dst_stride;
1669
+        src += src_stride;
1670
+    } while (--h);
1671
+}
1672
+
1673
+#define fpel_fn(type, sz) \
1674
+static void type##sz##_c(uint8_t *dst, ptrdiff_t dst_stride, \
1675
+                         const uint8_t *src, ptrdiff_t src_stride, \
1676
+                         int h, int mx, int my) \
1677
+{ \
1678
+    type##_c(dst, dst_stride, src, src_stride, sz, h); \
1679
+}
1680
+
1681
+#define copy_avg_fn(sz) \
1682
+fpel_fn(copy, sz) \
1683
+fpel_fn(avg,  sz)
1684
+
1685
+copy_avg_fn(64)
1686
+copy_avg_fn(32)
1687
+copy_avg_fn(16)
1688
+copy_avg_fn(8)
1689
+copy_avg_fn(4)
1690
+
1691
+#undef fpel_fn
1692
+#undef copy_avg_fn
1693
+
1694
+static const int8_t vp9_subpel_filters[3][15][8] = {
1695
+    [FILTER_8TAP_REGULAR] = {
1696
+        {  0,  1,  -5, 126,   8,  -3,  1,  0 },
1697
+        { -1,  3, -10, 122,  18,  -6,  2,  0 },
1698
+        { -1,  4, -13, 118,  27,  -9,  3, -1 },
1699
+        { -1,  4, -16, 112,  37, -11,  4, -1 },
1700
+        { -1,  5, -18, 105,  48, -14,  4, -1 },
1701
+        { -1,  5, -19,  97,  58, -16,  5, -1 },
1702
+        { -1,  6, -19,  88,  68, -18,  5, -1 },
1703
+        { -1,  6, -19,  78,  78, -19,  6, -1 },
1704
+        { -1,  5, -18,  68,  88, -19,  6, -1 },
1705
+        { -1,  5, -16,  58,  97, -19,  5, -1 },
1706
+        { -1,  4, -14,  48, 105, -18,  5, -1 },
1707
+        { -1,  4, -11,  37, 112, -16,  4, -1 },
1708
+        { -1,  3,  -9,  27, 118, -13,  4, -1 },
1709
+        {  0,  2,  -6,  18, 122, -10,  3, -1 },
1710
+        {  0,  1,  -3,   8, 126,  -5,  1,  0 },
1711
+    }, [FILTER_8TAP_SHARP] = {
1712
+        { -1,  3,  -7, 127,   8,  -3,  1,  0 },
1713
+        { -2,  5, -13, 125,  17,  -6,  3, -1 },
1714
+        { -3,  7, -17, 121,  27, -10,  5, -2 },
1715
+        { -4,  9, -20, 115,  37, -13,  6, -2 },
1716
+        { -4, 10, -23, 108,  48, -16,  8, -3 },
1717
+        { -4, 10, -24, 100,  59, -19,  9, -3 },
1718
+        { -4, 11, -24,  90,  70, -21, 10, -4 },
1719
+        { -4, 11, -23,  80,  80, -23, 11, -4 },
1720
+        { -4, 10, -21,  70,  90, -24, 11, -4 },
1721
+        { -3,  9, -19,  59, 100, -24, 10, -4 },
1722
+        { -3,  8, -16,  48, 108, -23, 10, -4 },
1723
+        { -2,  6, -13,  37, 115, -20,  9, -4 },
1724
+        { -2,  5, -10,  27, 121, -17,  7, -3 },
1725
+        { -1,  3,  -6,  17, 125, -13,  5, -2 },
1726
+        {  0,  1,  -3,   8, 127,  -7,  3, -1 },
1727
+    }, [FILTER_8TAP_SMOOTH] = {
1728
+        { -3, -1,  32,  64,  38,   1, -3,  0 },
1729
+        { -2, -2,  29,  63,  41,   2, -3,  0 },
1730
+        { -2, -2,  26,  63,  43,   4, -4,  0 },
1731
+        { -2, -3,  24,  62,  46,   5, -4,  0 },
1732
+        { -2, -3,  21,  60,  49,   7, -4,  0 },
1733
+        { -1, -4,  18,  59,  51,   9, -4,  0 },
1734
+        { -1, -4,  16,  57,  53,  12, -4, -1 },
1735
+        { -1, -4,  14,  55,  55,  14, -4, -1 },
1736
+        { -1, -4,  12,  53,  57,  16, -4, -1 },
1737
+        {  0, -4,   9,  51,  59,  18, -4, -1 },
1738
+        {  0, -4,   7,  49,  60,  21, -3, -2 },
1739
+        {  0, -4,   5,  46,  62,  24, -3, -2 },
1740
+        {  0, -4,   4,  43,  63,  26, -2, -2 },
1741
+        {  0, -3,   2,  41,  63,  29, -2, -2 },
1742
+        {  0, -3,   1,  38,  64,  32, -1, -3 },
1743
+    }
1744
+};
1745
+
1746
+#define FILTER_8TAP(src, x, F, stride) \
1747
+    av_clip_uint8((F[0] * src[x + -3 * stride] + \
1748
+                   F[1] * src[x + -2 * stride] + \
1749
+                   F[2] * src[x + -1 * stride] + \
1750
+                   F[3] * src[x + +0 * stride] + \
1751
+                   F[4] * src[x + +1 * stride] + \
1752
+                   F[5] * src[x + +2 * stride] + \
1753
+                   F[6] * src[x + +3 * stride] + \
1754
+                   F[7] * src[x + +4 * stride] + 64) >> 7)
1755
+
1756
+static av_always_inline void do_8tap_1d_c(uint8_t *dst, ptrdiff_t dst_stride,
1757
+                                          const uint8_t *src, ptrdiff_t src_stride,
1758
+                                          int w, int h, ptrdiff_t ds,
1759
+                                          const int8_t *filter, int avg)
1760
+{
1761
+    do {
1762
+        int x;
1763
+
1764
+        for (x = 0; x < w; x++)
1765
+            if (avg) {
1766
+                dst[x] = (dst[x] + FILTER_8TAP(src, x, filter, ds) + 1) >> 1;
1767
+            } else {
1768
+                dst[x] = FILTER_8TAP(src, x, filter, ds);
1769
+            }
1770
+
1771
+        dst += dst_stride;
1772
+        src += src_stride;
1773
+    } while (--h);
1774
+}
1775
+
1776
+#define filter_8tap_1d_fn(opn, opa, dir, ds) \
1777
+static av_noinline void opn##_8tap_1d_##dir##_c(uint8_t *dst, ptrdiff_t dst_stride, \
1778
+                                                const uint8_t *src, ptrdiff_t src_stride, \
1779
+                                                int w, int h, const int8_t *filter) \
1780
+{ \
1781
+    do_8tap_1d_c(dst, dst_stride, src, src_stride, w, h, ds, filter, opa); \
1782
+}
1783
+
1784
+filter_8tap_1d_fn(put, 0, v, src_stride)
1785
+filter_8tap_1d_fn(put, 0, h, 1)
1786
+filter_8tap_1d_fn(avg, 1, v, src_stride)
1787
+filter_8tap_1d_fn(avg, 1, h, 1)
1788
+
1789
+#undef filter_8tap_1d_fn
1790
+
1791
+static av_always_inline void do_8tap_2d_c(uint8_t *dst, ptrdiff_t dst_stride,
1792
+                                          const uint8_t *src, ptrdiff_t src_stride,
1793
+                                          int w, int h, const int8_t *filterx,
1794
+                                          const int8_t *filtery, int avg)
1795
+{
1796
+    int tmp_h = h + 7;
1797
+    uint8_t tmp[64 * 71], *tmp_ptr = tmp;
1798
+
1799
+    src -= src_stride * 3;
1800
+    do {
1801
+        int x;
1802
+
1803
+        for (x = 0; x < w; x++)
1804
+            tmp_ptr[x] = FILTER_8TAP(src, x, filterx, 1);
1805
+
1806
+        tmp_ptr += 64;
1807
+        src += src_stride;
1808
+    } while (--tmp_h);
1809
+
1810
+    tmp_ptr = tmp + 64 * 3;
1811
+    do {
1812
+        int x;
1813
+
1814
+        for (x = 0; x < w; x++)
1815
+            if (avg) {
1816
+                dst[x] = (dst[x] + FILTER_8TAP(tmp_ptr, x, filtery, 64) + 1) >> 1;
1817
+            } else {
1818
+                dst[x] = FILTER_8TAP(tmp_ptr, x, filtery, 64);
1819
+            }
1820
+
1821
+        tmp_ptr += 64;
1822
+        dst += dst_stride;
1823
+    } while (--h);
1824
+}
1825
+
1826
+#define filter_8tap_2d_fn(opn, opa) \
1827
+static av_noinline void opn##_8tap_2d_hv_c(uint8_t *dst, ptrdiff_t dst_stride, \
1828
+                                           const uint8_t *src, ptrdiff_t src_stride, \
1829
+                                           int w, int h, const int8_t *filterx, \
1830
+                                           const int8_t *filtery) \
1831
+{ \
1832
+    do_8tap_2d_c(dst, dst_stride, src, src_stride, w, h, filterx, filtery, opa); \
1833
+}
1834
+
1835
+filter_8tap_2d_fn(put, 0)
1836
+filter_8tap_2d_fn(avg, 1)
1837
+
1838
+#undef filter_8tap_2d_fn
1839
+
1840
+#undef FILTER_8TAP
1841
+
1842
+#define filter_fn_1d(sz, dir, dir_m, type, type_idx, avg) \
1843
+static void avg##_8tap_##type##_##sz##dir##_c(uint8_t *dst, ptrdiff_t dst_stride, \
1844
+                                              const uint8_t *src, ptrdiff_t src_stride, \
1845
+                                              int h, int mx, int my) \
1846
+{ \
1847
+    avg##_8tap_1d_##dir##_c(dst, dst_stride, src, src_stride, sz, h, \
1848
+                            vp9_subpel_filters[type_idx][dir_m - 1]); \
1849
+}
1850
+
1851
+#define filter_fn_2d(sz, type, type_idx, avg) \
1852
+static void avg##_8tap_##type##_##sz##hv_c(uint8_t *dst, ptrdiff_t dst_stride, \
1853
+                                           const uint8_t *src, ptrdiff_t src_stride, \
1854
+                                           int h, int mx, int my) \
1855
+{ \
1856
+    avg##_8tap_2d_hv_c(dst, dst_stride, src, src_stride, sz, h, \
1857
+                       vp9_subpel_filters[type_idx][mx - 1], \
1858
+                       vp9_subpel_filters[type_idx][my - 1]); \
1859
+}
1860
+
1861
+#define FILTER_BILIN(src, x, mxy, stride) \
1862
+    (src[x] + ((mxy * (src[x + stride] - src[x]) + 8) >> 4))
1863
+
1864
+static av_always_inline void do_bilin_1d_c(uint8_t *dst, ptrdiff_t dst_stride,
1865
+                                           const uint8_t *src, ptrdiff_t src_stride,
1866
+                                           int w, int h, ptrdiff_t ds, int mxy, int avg)
1867
+{
1868
+    do {
1869
+        int x;
1870
+
1871
+        for (x = 0; x < w; x++)
1872
+            if (avg) {
1873
+                dst[x] = (dst[x] + FILTER_BILIN(src, x, mxy, ds) + 1) >> 1;
1874
+            } else {
1875
+                dst[x] = FILTER_BILIN(src, x, mxy, ds);
1876
+            }
1877
+
1878
+        dst += dst_stride;
1879
+        src += src_stride;
1880
+    } while (--h);
1881
+}
1882
+
1883
+#define bilin_1d_fn(opn, opa, dir, ds) \
1884
+static av_noinline void opn##_bilin_1d_##dir##_c(uint8_t *dst, ptrdiff_t dst_stride, \
1885
+                                                 const uint8_t *src, ptrdiff_t src_stride, \
1886
+                                                 int w, int h, int mxy) \
1887
+{ \
1888
+    do_bilin_1d_c(dst, dst_stride, src, src_stride, w, h, ds, mxy, opa); \
1889
+}
1890
+
1891
+bilin_1d_fn(put, 0, v, src_stride)
1892
+bilin_1d_fn(put, 0, h, 1)
1893
+bilin_1d_fn(avg, 1, v, src_stride)
1894
+bilin_1d_fn(avg, 1, h, 1)
1895
+
1896
+#undef bilin_1d_fn
1897
+
1898
+static av_always_inline void do_bilin_2d_c(uint8_t *dst, ptrdiff_t dst_stride,
1899
+                                           const uint8_t *src, ptrdiff_t src_stride,
1900
+                                           int w, int h, int mx, int my, int avg)
1901
+{
1902
+    uint8_t tmp[64 * 65], *tmp_ptr = tmp;
1903
+    int tmp_h = h + 1;
1904
+
1905
+    do {
1906
+        int x;
1907
+
1908
+        for (x = 0; x < w; x++)
1909
+            tmp_ptr[x] = FILTER_BILIN(src, x, mx, 1);
1910
+
1911
+        tmp_ptr += 64;
1912
+        src += src_stride;
1913
+    } while (--tmp_h);
1914
+
1915
+    tmp_ptr = tmp;
1916
+    do {
1917
+        int x;
1918
+
1919
+        for (x = 0; x < w; x++)
1920
+            if (avg) {
1921
+                dst[x] = (dst[x] + FILTER_BILIN(tmp_ptr, x, my, 64) + 1) >> 1;
1922
+            } else {
1923
+                dst[x] = FILTER_BILIN(tmp_ptr, x, my, 64);
1924
+            }
1925
+
1926
+        tmp_ptr += 64;
1927
+        dst += dst_stride;
1928
+    } while (--h);
1929
+}
1930
+
1931
+#define bilin_2d_fn(opn, opa) \
1932
+static av_noinline void opn##_bilin_2d_hv_c(uint8_t *dst, ptrdiff_t dst_stride, \
1933
+                                            const uint8_t *src, ptrdiff_t src_stride, \
1934
+                                            int w, int h, int mx, int my) \
1935
+{ \
1936
+    do_bilin_2d_c(dst, dst_stride, src, src_stride, w, h, mx, my, opa); \
1937
+}
1938
+
1939
+bilin_2d_fn(put, 0)
1940
+bilin_2d_fn(avg, 1)
1941
+
1942
+#undef bilin_2d_fn
1943
+
1944
+#undef FILTER_BILIN
1945
+
1946
+#define bilinf_fn_1d(sz, dir, dir_m, avg) \
1947
+static void avg##_bilin_##sz##dir##_c(uint8_t *dst, ptrdiff_t dst_stride, \
1948
+                                      const uint8_t *src, ptrdiff_t src_stride, \
1949
+                                      int h, int mx, int my) \
1950
+{ \
1951
+    avg##_bilin_1d_##dir##_c(dst, dst_stride, src, src_stride, sz, h, dir_m); \
1952
+}
1953
+
1954
+#define bilinf_fn_2d(sz, avg) \
1955
+static void avg##_bilin_##sz##hv_c(uint8_t *dst, ptrdiff_t dst_stride, \
1956
+                                   const uint8_t *src, ptrdiff_t src_stride, \
1957
+                                   int h, int mx, int my) \
1958
+{ \
1959
+    avg##_bilin_2d_hv_c(dst, dst_stride, src, src_stride, sz, h, mx, my); \
1960
+}
1961
+
1962
+#define filter_fn(sz, avg) \
1963
+filter_fn_1d(sz, h, mx, regular, FILTER_8TAP_REGULAR, avg) \
1964
+filter_fn_1d(sz, v, my, regular, FILTER_8TAP_REGULAR, avg) \
1965
+filter_fn_2d(sz,        regular, FILTER_8TAP_REGULAR, avg) \
1966
+filter_fn_1d(sz, h, mx, smooth,  FILTER_8TAP_SMOOTH,  avg) \
1967
+filter_fn_1d(sz, v, my, smooth,  FILTER_8TAP_SMOOTH,  avg) \
1968
+filter_fn_2d(sz,        smooth,  FILTER_8TAP_SMOOTH,  avg) \
1969
+filter_fn_1d(sz, h, mx, sharp,   FILTER_8TAP_SHARP,   avg) \
1970
+filter_fn_1d(sz, v, my, sharp,   FILTER_8TAP_SHARP,   avg) \
1971
+filter_fn_2d(sz,        sharp,   FILTER_8TAP_SHARP,   avg) \
1972
+bilinf_fn_1d(sz, h, mx,                               avg) \
1973
+bilinf_fn_1d(sz, v, my,                               avg) \
1974
+bilinf_fn_2d(sz,                                      avg)
1975
+
1976
+#define filter_fn_set(avg) \
1977
+filter_fn(64, avg) \
1978
+filter_fn(32, avg) \
1979
+filter_fn(16, avg) \
1980
+filter_fn(8,  avg) \
1981
+filter_fn(4,  avg)
1982
+
1983
+filter_fn_set(put)
1984
+filter_fn_set(avg)
1985
+
1986
+#undef filter_fn
1987
+#undef filter_fn_set
1988
+#undef filter_fn_1d
1989
+#undef filter_fn_2d
1990
+#undef bilinf_fn_1d
1991
+#undef bilinf_fn_2d
1992
+
1993
+static av_cold void vp9dsp_mc_init(VP9DSPContext *dsp)
1994
+{
1995
+#define init_fpel(idx1, idx2, sz, type) \
1996
+    dsp->mc[idx1][FILTER_8TAP_SMOOTH ][idx2][0][0] = type##sz##_c; \
1997
+    dsp->mc[idx1][FILTER_8TAP_REGULAR][idx2][0][0] = type##sz##_c; \
1998
+    dsp->mc[idx1][FILTER_8TAP_SHARP  ][idx2][0][0] = type##sz##_c; \
1999
+    dsp->mc[idx1][FILTER_BILINEAR    ][idx2][0][0] = type##sz##_c
2000
+
2001
+#define init_copy_avg(idx, sz) \
2002
+    init_fpel(idx, 0, sz, copy); \
2003
+    init_fpel(idx, 1, sz, avg)
2004
+
2005
+    init_copy_avg(0, 64);
2006
+    init_copy_avg(1, 32);
2007
+    init_copy_avg(2, 16);
2008
+    init_copy_avg(3,  8);
2009
+    init_copy_avg(4,  4);
2010
+
2011
+#undef init_copy_avg
2012
+#undef init_fpel
2013
+
2014
+#define init_subpel1(idx1, idx2, idxh, idxv, sz, dir, type) \
2015
+    dsp->mc[idx1][FILTER_8TAP_SMOOTH ][idx2][idxh][idxv] = type##_8tap_smooth_##sz##dir##_c; \
2016
+    dsp->mc[idx1][FILTER_8TAP_REGULAR][idx2][idxh][idxv] = type##_8tap_regular_##sz##dir##_c; \
2017
+    dsp->mc[idx1][FILTER_8TAP_SHARP  ][idx2][idxh][idxv] = type##_8tap_sharp_##sz##dir##_c; \
2018
+    dsp->mc[idx1][FILTER_BILINEAR    ][idx2][idxh][idxv] = type##_bilin_##sz##dir##_c
2019
+
2020
+#define init_subpel2(idx, idxh, idxv, dir, type) \
2021
+    init_subpel1(0, idx, idxh, idxv, 64, dir, type); \
2022
+    init_subpel1(1, idx, idxh, idxv, 32, dir, type); \
2023
+    init_subpel1(2, idx, idxh, idxv, 16, dir, type); \
2024
+    init_subpel1(3, idx, idxh, idxv,  8, dir, type); \
2025
+    init_subpel1(4, idx, idxh, idxv,  4, dir, type)
2026
+
2027
+#define init_subpel3(idx, type) \
2028
+    init_subpel2(idx, 1, 1, hv, type); \
2029
+    init_subpel2(idx, 0, 1, v, type); \
2030
+    init_subpel2(idx, 1, 0, h, type)
2031
+
2032
+    init_subpel3(0, put);
2033
+    init_subpel3(1, avg);
2034
+
2035
+#undef init_subpel1
2036
+#undef init_subpel2
2037
+#undef init_subpel3
2038
+}
2039
+
2040
+av_cold void ff_vp9dsp_init(VP9DSPContext *dsp)
2041
+{
2042
+    vp9dsp_intrapred_init(dsp);
2043
+    vp9dsp_itxfm_init(dsp);
2044
+    vp9dsp_loopfilter_init(dsp);
2045
+    vp9dsp_mc_init(dsp);
2046
+}
0 2047
new file mode 100644
... ...
@@ -0,0 +1,115 @@
0
+/*
1
+ * VP9 compatible video decoder
2
+ *
3
+ * Copyright (C) 2013 Ronald S. Bultje <rsbultje gmail com>
4
+ * Copyright (C) 2013 Clément Bœsch <u pkh me>
5
+ *
6
+ * This file is part of FFmpeg.
7
+ *
8
+ * FFmpeg is free software; you can redistribute it and/or
9
+ * modify it under the terms of the GNU Lesser General Public
10
+ * License as published by the Free Software Foundation; either
11
+ * version 2.1 of the License, or (at your option) any later version.
12
+ *
13
+ * FFmpeg is distributed in the hope that it will be useful,
14
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
15
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
16
+ * Lesser General Public License for more details.
17
+ *
18
+ * You should have received a copy of the GNU Lesser General Public
19
+ * License along with FFmpeg; if not, write to the Free Software
20
+ * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA
21
+ */
22
+
23
+#ifndef AVCODEC_VP9DSP_H
24
+#define AVCODEC_VP9DSP_H
25
+
26
+#include <stdint.h>
27
+
28
+#include "vp9.h"
29
+
30
+typedef void (*vp9_mc_func)(uint8_t *dst, ptrdiff_t dst_stride,
31
+                            const uint8_t *ref, ptrdiff_t ref_stride,
32
+                            int h, int mx, int my);
33
+
34
+typedef struct VP9DSPContext {
35
+    /*
36
+     * dimension 1: 0=4x4, 1=8x8, 2=16x16, 3=32x32
37
+     * dimension 2: intra prediction modes
38
+     *
39
+     * dst/left/top is aligned by transform-size (i.e. 4, 8, 16 or 32 pixels)
40
+     * stride is aligned by 16 pixels
41
+     * top[-1] is top/left; top[4,7] is top-right for 4x4
42
+     */
43
+    // FIXME(rbultje) maybe replace left/top pointers with HAVE_TOP/
44
+    // HAVE_LEFT/HAVE_TOPRIGHT flags instead, and then handle it in-place?
45
+    // also needs to fit in with what h264/vp8/etc do
46
+    void (*intra_pred[N_TXFM_SIZES][N_INTRA_PRED_MODES])(uint8_t *dst,
47
+                                                         ptrdiff_t stride,
48
+                                                         const uint8_t *left,
49
+                                                         const uint8_t *top);
50
+
51
+    /*
52
+     * dimension 1: 0=4x4, 1=8x8, 2=16x16, 3=32x32, 4=lossless (3-4=dct only)
53
+     * dimension 2: 0=dct/dct, 1=dct/adst, 2=adst/dct, 3=adst/adst
54
+     *
55
+     * dst is aligned by transform-size (i.e. 4, 8, 16 or 32 pixels)
56
+     * stride is aligned by 16 pixels
57
+     * block is 16-byte aligned
58
+     * eob indicates the position (+1) of the last non-zero coefficient,
59
+     * in scan-order. This can be used to write faster versions, e.g. a
60
+     * dc-only 4x4/8x8/16x16/32x32, or a 4x4-only (eob<10) 8x8/16x16/32x32,
61
+     * etc.
62
+     */
63
+    // FIXME also write idct_add_block() versions for whole (inter) pred
64
+    // blocks, so we can do 2 4x4s at once
65
+    void (*itxfm_add[N_TXFM_SIZES + 1][N_TXFM_TYPES])(uint8_t *dst,
66
+                                                      ptrdiff_t stride,
67
+                                                      int16_t *block, int eob);
68
+
69
+    /*
70
+     * dimension 1: width of filter (0=4, 1=8, 2=16)
71
+     * dimension 2: 0=col-edge filter (h), 1=row-edge filter (v)
72
+     *
73
+     * dst/stride are aligned by 8
74
+     */
75
+    void (*loop_filter_8[3][2])(uint8_t *dst, ptrdiff_t stride,
76
+                                int mb_lim, int lim, int hev_thr);
77
+
78
+    /*
79
+     * dimension 1: 0=col-edge filter (h), 1=row-edge filter (v)
80
+     *
81
+     * The width of filter is assumed to be 16; dst/stride are aligned by 16
82
+     */
83
+    void (*loop_filter_16[2])(uint8_t *dst, ptrdiff_t stride,
84
+                              int mb_lim, int lim, int hev_thr);
85
+
86
+    /*
87
+     * dimension 1/2: width of filter (0=4, 1=8) for each filter half
88
+     * dimension 3: 0=col-edge filter (h), 1=row-edge filter (v)
89
+     *
90
+     * dst/stride are aligned by operation size
91
+     * this basically calls loop_filter[d1][d3][0](), followed by
92
+     * loop_filter[d2][d3][0]() on the next 8 pixels
93
+     * mb_lim/lim/hev_thr contain two values in the lowest two bytes of the
94
+     * integer.
95
+     */
96
+    // FIXME perhaps a mix4 that operates on 32px (for AVX2)
97
+    void (*loop_filter_mix2[2][2][2])(uint8_t *dst, ptrdiff_t stride,
98
+                                      int mb_lim, int lim, int hev_thr);
99
+
100
+    /*
101
+     * dimension 1: hsize (0: 64, 1: 32, 2: 16, 3: 8, 4: 4)
102
+     * dimension 2: filter type (0: smooth, 1: regular, 2: sharp, 3: bilin)
103
+     * dimension 3: averaging type (0: put, 1: avg)
104
+     * dimension 4: x subpel interpolation (0: none, 1: 8tap/bilin)
105
+     * dimension 5: y subpel interpolation (1: none, 1: 8tap/bilin)
106
+     *
107
+     * dst/stride are aligned by hsize
108
+     */
109
+    vp9_mc_func mc[5][4][2][2][2];
110
+} VP9DSPContext;
111
+
112
+void ff_vp9dsp_init(VP9DSPContext *dsp);
113
+
114
+#endif /* AVCODEC_VP9DSP_H */
... ...
@@ -57,3 +57,33 @@ $(eval $(call FATE_VP8_FULL))
57 57
 $(eval $(call FATE_VP8_FULL,-emu-edge,-flags +emu_edge))
58 58
 FATE_SAMPLES_AVCONV-$(CONFIG_VP8_DECODER) += $(FATE_VP8-yes)
59 59
 fate-vp8: $(FATE_VP8-yes)
60
+
61
+define FATE_VP9_SUITE
62
+FATE_VP9-$(CONFIG_MATROSKA_DEMUXER) += fate-vp9$(2)-$(1)
63
+fate-vp9$(2)-$(1): CMD = framemd5 $(3) -i $(TARGET_SAMPLES)/vp9-test-vectors/vp90-2-$(1).webm
64
+fate-vp9$(2)-$(1): REF = $(SRC_PATH)/tests/ref/fate/vp9-$(1)
65
+endef
66
+
67
+VP9_Q = 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 \
68
+        16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 \
69
+        32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 \
70
+        48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63
71
+VP9_SHARP = 1 2 3 4 5 6 7
72
+VP9_SIZE_A = 08 10 16 18 32 34 64 66
73
+VP9_SIZE_B = 196 198 200 202 208 210 224 226
74
+
75
+define FATE_VP9_FULL
76
+$(foreach Q,$(VP9_Q),$(eval $(call FATE_VP9_SUITE,00-quantizer-$(Q),$(1),$(2))))
77
+$(foreach SHARP,$(VP9_SHARP),$(eval $(call FATE_VP9_SUITE,01-sharpness-$(SHARP),$(1),$(2))))
78
+$(foreach W,$(VP9_SIZE_A),$(eval $(foreach H,$(VP9_SIZE_A),$(eval $(call FATE_VP9_SUITE,02-size-$(W)x$(H),$(1),$(2))))))
79
+$(foreach W,$(VP9_SIZE_B),$(eval $(foreach H,$(VP9_SIZE_B),$(eval $(call FATE_VP9_SUITE,03-size-$(W)x$(H),$(1),$(2))))))
80
+$(eval $(call FATE_VP9_SUITE,03-deltaq,$(1),$(2)))
81
+$(eval $(call FATE_VP9_SUITE,2pass-akiyo,$(1),$(2)))
82
+$(eval $(call FATE_VP9_SUITE,segmentation-akiyo,$(1),$(2)))
83
+$(eval $(call FATE_VP9_SUITE,tiling-pedestrian,$(1),$(2)))
84
+endef
85
+
86
+$(eval $(call FATE_VP9_FULL))
87
+$(eval $(call FATE_VP9_FULL,-emu-edge,-flags +emu_edge))
88
+FATE_SAMPLES_AVCONV-$(CONFIG_VP9_DECODER) += $(FATE_VP9-yes)
89
+fate-vp9: $(FATE_VP9-yes)
60 90
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, c3fbb7abbdb5bd4ed4a7e34768c17df1
2
+0,          1,          1,        1,   152064, 08203c2595bdb2d58ead6f921345d699
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, f041b870cf9236d5f22e2b08a77d5958
2
+0,          1,          1,        1,   152064, cbdb7526986ae15592891488c9afc84c
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 98048cfdb4af5059f4085c5acc94ef8f
2
+0,          1,          1,        1,   152064, 8160183e1eed1d0af4427be216b8b9f7
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 15c548208f5eda243a151a42f4d64855
2
+0,          1,          1,        1,   152064, e96d463dc8e9b27b1c2ec40f77eee6ef
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 928c64a0747ac57ab50c1520d694fea7
2
+0,          1,          1,        1,   152064, a6f6daa293231e95ef30ed168f582c84
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 082460718b7d7046c8fb23184b7f71ca
2
+0,          1,          1,        1,   152064, 4a41aad51c40a92df72333e13f47d3fe
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, cfca1bed96ff62a69b2d841fda01c6b9
2
+0,          1,          1,        1,   152064, 9b4d61f1b998745c108f8eb67925e03d
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 6f5122064bead9d9882bec2698a6ed9c
2
+0,          1,          1,        1,   152064, 50dae67d2f57a76eece210dee8b6df9e
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, eb3d6985fcda5d93dd62d53354e8a093
2
+0,          1,          1,        1,   152064, 5b1f5b7780b4cafe1f75e56a0b526643
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, d7ccaf28c59875fe91983def5490d2b1
2
+0,          1,          1,        1,   152064, bd98fe9492054826748de840b4495309
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 20dda6231f9801c9c237c6d09d9939b6
2
+0,          1,          1,        1,   152064, 23c91e93807fb9a4ed5bd5bdd449d99f
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 960833315ebcdee97f46c4d98d0f3fef
2
+0,          1,          1,        1,   152064, eec40507d17b64b7895a61cb87b2096a
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 6533224d3b6ba1ec0dd973bbe56c6349
2
+0,          1,          1,        1,   152064, 12ceadc6d28327a24a75f8c40b6084d1
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 7268de6756014f79a56dcf010c52a97f
2
+0,          1,          1,        1,   152064, 9e39e9b0e2295b8460dfa05f44762771
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 57e9e333c641fa952f7485b788df225a
2
+0,          1,          1,        1,   152064, 551f0cea83dcdf4540c3983736757874
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 17a0a2842856b9e89aede237648d5dda
2
+0,          1,          1,        1,   152064, c9fcade888a38621bebe3d4b41664245
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 6cc2089e9a3d352fe10b59ccd935c677
2
+0,          1,          1,        1,   152064, d165bf7b9cb901e121a65038758d8613
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, bc80511c83162c09661f155cd29f6dd8
2
+0,          1,          1,        1,   152064, a62f1cbdb3f86d2fb4c880cfd917def5
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, b2d350f6faa41cb50c2e8a9907d0f4a5
2
+0,          1,          1,        1,   152064, 39b4380d16bc8e093dd4dba475175fb3
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 441e09be3c15fcb240afd74bb7a10a72
2
+0,          1,          1,        1,   152064, 32ae5dac876ca5d5ae6ab7c74f4dc25d
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 7786eb9944dba0553e129133523a98c1
2
+0,          1,          1,        1,   152064, 206d888f8453427f10a40aa8bf5f6df0
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, aab95e195be71feca050a839d7b3154d
2
+0,          1,          1,        1,   152064, 02a05d699bbbdc477e34bb0dad9f0391
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 41f853c3ee2d4611b645cc643d82e287
2
+0,          1,          1,        1,   152064, 1c240c653110ff8609ca0f0287a6496d
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, bc5b07369df50c8f97ce1a377fe513cf
2
+0,          1,          1,        1,   152064, ce62ddb4f3e305d0f8587ae8bb44cc79
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 982d54041221c977b6f0e37a9236cc76
2
+0,          1,          1,        1,   152064, 57631e7f13f645c834e2944ebfd6d40e
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, b0fb55f3f2f56b3d27038e83c10123ce
2
+0,          1,          1,        1,   152064, 9fcac3becdcc2d30d778a55eca4c2018
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 4f645e0f354da77b9e2f2a6753c361da
2
+0,          1,          1,        1,   152064, b7542998ec298273ca662bc9b658d10e
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 6edc96a3747cad43828397045764206e
2
+0,          1,          1,        1,   152064, 5fbc65d20fdca1abd69079851ce676d3
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 5db3e910e70da38bb91d01d73acc33dd
2
+0,          1,          1,        1,   152064, b920ee7f7e61b7fdf9f44b1f738d0292
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 3cb3e310be5305077efa6216f6f10654
2
+0,          1,          1,        1,   152064, 692d3e098af5978fe1a898ebc1a66a7a
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, e3b3cea66ea38c5dfba1aa73bb4c611d
2
+0,          1,          1,        1,   152064, 42bb3e54b19c3f4c4f7ee3a6ba012e19
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 2523e9ecfd3781eafcd7da192dc105e9
2
+0,          1,          1,        1,   152064, 6d5feea012b9a1f51fc643633e728764
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 0a0305eba36500ebf6cc6cc0f01f5a3b
2
+0,          1,          1,        1,   152064, 2c76bcd6763467f9057a726fbcf50ab1
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, c68433e0e94047c220be9b629334f744
2
+0,          1,          1,        1,   152064, fcfa4dff7a39bc9c5e315849ecbb46ea
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, ad9dc2f912c137b014a33e2792c88a25
2
+0,          1,          1,        1,   152064, 11221ee4ea5c776f43af68756682cd5a
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 75031f898cccf303a64ab46b1f815389
2
+0,          1,          1,        1,   152064, a4fc864e7fbc470dfcab6207e0eea152
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, c7824af009fde6cafdd8d39fae6bb6cf
2
+0,          1,          1,        1,   152064, 516a82d5fc4dfa3daf713ed2ec36041b
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, a2e5c820fd9733e18f9349fb658ca281
2
+0,          1,          1,        1,   152064, fb23e0bc64728a492a33d985032f21b8
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 8347bfb891317e89ef66781d6c28e24f
2
+0,          1,          1,        1,   152064, a5722f824d32deac042513a1a7dcdcd0
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 018968f97fac3bdff146cf22c1da5ef0
2
+0,          1,          1,        1,   152064, ca8b09b01e5132183395e238f1c7901e
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 792660f6589ad5340be4bd0554435866
2
+0,          1,          1,        1,   152064, 68c84c8a15d679e0a73678b93215c62c
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, a456bdfc6c1c07b4cb3a3848843743b9
2
+0,          1,          1,        1,   152064, fe41a12b8cb6bc5667ba2179e076f3b0
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, f016dd8431694d989700fb1ba71a5b2d
2
+0,          1,          1,        1,   152064, e89c3c5b935157b40f2fb0ab92415828
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 7b8ab82625f3006bac89d4fb5197e71c
2
+0,          1,          1,        1,   152064, 18bd3716045563dfba2c72b640b3274b
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 66fde04d8320c750e56406feefd29979
2
+0,          1,          1,        1,   152064, f9d01d8fc1722ec345e624e14b404215
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, cc97597b015896d73f3e60e7ae44c4da
2
+0,          1,          1,        1,   152064, fea98bc508f92135641ab99762444b14
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 79ed95c741178bb3c0954f1f6f8e21a3
2
+0,          1,          1,        1,   152064, f02a06a5e2b5b7619c9a52c5bea0564d
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 9b98e948b8c2a822f21bd8419e6f4410
2
+0,          1,          1,        1,   152064, 491382d68c16c2a3c6f1746598bc4a97
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, f0f095b0edae7262f44d7ed7ef84ded4
2
+0,          1,          1,        1,   152064, 0e833889ccac81d60251007d1baf6500
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 6c1b7b7827617fb9b8417aca2cfdbcaa
2
+0,          1,          1,        1,   152064, 4c1fc8a89297fdcf79f0faabd42b8684
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, ca6142db68463487bc28c888ab38476c
2
+0,          1,          1,        1,   152064, 02a71153ec70f569524c3d814cb62f86
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, eece2627df1ddf0872256eb92352e179
2
+0,          1,          1,        1,   152064, 0ee9f221246ad747250e4b5e8ba586e2
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 7290039d974c4e50db9d69f9864bcdbe
2
+0,          1,          1,        1,   152064, 264765de9d02503038a4da54133b9f85
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 917af24da66f143a56a01eb2c2254285
2
+0,          1,          1,        1,   152064, 45a05d3bc644420519619e4115662a70
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 6fea2820bb10a9dec9add4d2452b01f5
2
+0,          1,          1,        1,   152064, 74675169a4bfc2ff5463c4db5d85a79f
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 11e5d196f6537fb7d85988d90195e556
2
+0,          1,          1,        1,   152064, 8536106795f7c93c5a43a11493527469
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 40839b7a3a40ec10f96b8a75224f646d
2
+0,          1,          1,        1,   152064, 11408dd73e8c45ddaab99f5c9650102b
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, d0e9fa03dd48da4592ebaadb4e3794e0
2
+0,          1,          1,        1,   152064, 5172e29b1e04cd543833d6a68aab297c
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, bef4a27d460e7697e038fe6f1c8bd597
2
+0,          1,          1,        1,   152064, 124674686cafc5f2ff5bc7ea412b8f3b
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, ae9d99e9d16ef20073300559566844ae
2
+0,          1,          1,        1,   152064, da9405e5a6bfe4ed18d927ba2004008e
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 9e66bb8e1b5e206ea4afe4bf2d335ac5
2
+0,          1,          1,        1,   152064, 092b74c905c12c1e87e90f5a79857736
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, d062dc6be246c8042744018765ef50a8
2
+0,          1,          1,        1,   152064, 45fd9cbacb6a91060a7e49a58a85869d
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 62f7e42fe653e81c5a65a25389e045b5
2
+0,          1,          1,        1,   152064, cb0cdd0b25689e0a43328550011d960d
0 3
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 8467643dceff827e04acd82eeff1d1b0
2
+0,          1,          1,        1,   152064, c786f49d66f4dfd685dea9605821a19f
0 3
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, a2e5c820fd9733e18f9349fb658ca281
2
+0,          1,          1,        1,   152064, aa20a75be3a316193496706c9f760d08
3
+0,          2,          2,        1,   152064, 95567be97a64d3c9efe45f2524116a2e
4
+0,          3,          3,        1,   152064, 219e86cd6b3cca312856eead21776b1c
5
+0,          4,          4,        1,   152064, 4a67fd359ca362398e97c15eb018a2bb
6
+0,          5,          5,        1,   152064, 9916d4e359274d690827f0eb22547423
7
+0,          6,          6,        1,   152064, a07785b52561150c48f1a8eff89d5d75
8
+0,          7,          7,        1,   152064, a3382a92982953dfa20018e5ac975b51
9
+0,          8,          8,        1,   152064, 911836989ca7b148438aa3ec7fc7e303
10
+0,          9,          9,        1,   152064, 5627b981e3fc9e4401d35d3a5ab25917
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, a2e5c820fd9733e18f9349fb658ca281
2
+0,          1,          1,        1,   152064, cd94572239817ae7c9b07de739c3272b
3
+0,          2,          2,        1,   152064, 383cf752d457e122b5ff49d08960208e
4
+0,          3,          3,        1,   152064, 1c0a6ec9cd3ce29b8b004e7526f1b07e
5
+0,          4,          4,        1,   152064, 91c42a8a108d67947cabfc2a5a80df66
6
+0,          5,          5,        1,   152064, 08c57fc1f3fec0305883315a66c714d1
7
+0,          6,          6,        1,   152064, 70cb8d8dc83eac82f2d3c4b0376bb1aa
8
+0,          7,          7,        1,   152064, ffd62a9ef829ec81f0f74f740488a41f
9
+0,          8,          8,        1,   152064, bab0aa23b5854e2a70926046e4618710
10
+0,          9,          9,        1,   152064, fec456f38f2a43661e786a8d5f67ed15
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, a2e5c820fd9733e18f9349fb658ca281
2
+0,          1,          1,        1,   152064, 0d487a146393a0b8b84b4be1b371b507
3
+0,          2,          2,        1,   152064, 68372e191eba620a431cfff226026ac3
4
+0,          3,          3,        1,   152064, de7fd274460e36b983fe93acc208d72f
5
+0,          4,          4,        1,   152064, afbd36c61bab65b98ff9acf08e215721
6
+0,          5,          5,        1,   152064, e1e9fc2ab4e7a187a8d8d84aae48d6b9
7
+0,          6,          6,        1,   152064, 11d95de6a9cc5e00511e99534779faac
8
+0,          7,          7,        1,   152064, cd2f5539fdfc2d8eefe6b6da28c13398
9
+0,          8,          8,        1,   152064, a8b3aeed41da7aeb8d5b962ee4a4af93
10
+0,          9,          9,        1,   152064, 4283670bd1c1c506ef18d3dafca22035
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, a2e5c820fd9733e18f9349fb658ca281
2
+0,          1,          1,        1,   152064, 8bad76c55b5149169d64ce6512521de6
3
+0,          2,          2,        1,   152064, c1d986e1f9bf46382e598ba289b9bd7c
4
+0,          3,          3,        1,   152064, 86c097ac6069c786023d3561dae68bac
5
+0,          4,          4,        1,   152064, 8c238a2831b8c7c49736b6de6ff76ed8
6
+0,          5,          5,        1,   152064, cb5a038ed0a74a317ee72dae93a7ee3e
7
+0,          6,          6,        1,   152064, f8fe330a257e3e4e4c39c1c12820a654
8
+0,          7,          7,        1,   152064, a73e2fcdcbb9334c0c123f8276a2c881
9
+0,          8,          8,        1,   152064, 24fccece8ee639e4d0e00e4060e1db0c
10
+0,          9,          9,        1,   152064, 46d6e9aad69a39c718c5fd1e41e86e6e
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, a2e5c820fd9733e18f9349fb658ca281
2
+0,          1,          1,        1,   152064, f1ce0a5d57a46c9ff1331804b7b03fdb
3
+0,          2,          2,        1,   152064, 0364a085b06bee6b980189cf5378eda9
4
+0,          3,          3,        1,   152064, 4b5358698d734b0ae210909a913d4c1e
5
+0,          4,          4,        1,   152064, dc22565aaceee77b15fd8ab3c84bd5e0
6
+0,          5,          5,        1,   152064, 5f6340b656536292b46ba9a647aeb6e4
7
+0,          6,          6,        1,   152064, b7d4bce9a04b2a6caa45801be15e331e
8
+0,          7,          7,        1,   152064, 534c851cfe59ffc047815ece98d8cede
9
+0,          8,          8,        1,   152064, 786b0e1564d5c71aabfc2dd528cff4e7
10
+0,          9,          9,        1,   152064, cac0366209cf471bb7cc3e64966cbbd4
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, a2e5c820fd9733e18f9349fb658ca281
2
+0,          1,          1,        1,   152064, 45d9ca07ed04210b1ebc743169bc8ec4
3
+0,          2,          2,        1,   152064, 5b646cc309a711f1d8814f925002d8c4
4
+0,          3,          3,        1,   152064, 34db8db727fa1ded0a55cc7cf85be249
5
+0,          4,          4,        1,   152064, 54173d08afe6369b16a9c0c9cc6ce04d
6
+0,          5,          5,        1,   152064, 76275b0a478cdb3c1fb527ebbce023c3
7
+0,          6,          6,        1,   152064, e7643cdf0c42f2af700d8730bfc1a453
8
+0,          7,          7,        1,   152064, 6e53097e56f680cb658d63100e7736f7
9
+0,          8,          8,        1,   152064, 1a407c3c8ea1d5245ae68c5ce7de70e1
10
+0,          9,          9,        1,   152064, 6cbca24912cadf09b20be74f14e359c9
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, a2e5c820fd9733e18f9349fb658ca281
2
+0,          1,          1,        1,   152064, f719d0be18d16a448b4e7da3e2d9bf28
3
+0,          2,          2,        1,   152064, 83ee8ebc0ca796782a2376a76f2ffc26
4
+0,          3,          3,        1,   152064, 7cf5afdbc229e1af50a5377cfc23d831
5
+0,          4,          4,        1,   152064, 44244e896e0362f6376ba5afa563ba8b
6
+0,          5,          5,        1,   152064, df5f518d44eb6cb91b2df5a30d27ef82
7
+0,          6,          6,        1,   152064, 43cc3f151b8337aca7ee659c8abeb783
8
+0,          7,          7,        1,   152064, 4e89573470d9b97464e10806fc81aa8b
9
+0,          8,          8,        1,   152064, 62e0ba70f07ece8d85372f0a42e83a9a
10
+0,          9,          9,        1,   152064, 45ac2928acb11326f6c4a21401f3609c
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,       96, 52def242c36123e5a8f5f53d6a971399
2
+0,          1,          1,        1,       96, 79c93360fbd47179400414bbfee0901c
3
+0,          2,          2,        1,       96, c3b1947c79537baa7838905276276a91
4
+0,          3,          3,        1,       96, 20f35e501bdee0bc63e87b9240265c25
5
+0,          4,          4,        1,       96, 5e8f1c464bafd54833c51860906b5368
6
+0,          5,          5,        1,       96, f57b592600dfc99e634a083278af769a
7
+0,          6,          6,        1,       96, 7b02191f85590cbad3f148c7b92d6436
8
+0,          7,          7,        1,       96, b0a1c9870447a1744f64cd4087ef55ee
9
+0,          8,          8,        1,       96, c82712b1ba7a95efb67cbdde0ad708b6
10
+0,          9,          9,        1,       96, 89f4539f8d7a7b45a91fd2f46335988e
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      120, ea3e0f807304b0eb2d3e329b0124f75c
2
+0,          1,          1,        1,      120, 8d13cf682d63e7eb13094f55d67fc458
3
+0,          2,          2,        1,      120, e729cc6c3684c94a8f6118c618efc3ea
4
+0,          3,          3,        1,      120, ac43a0ace8e4112e877c2491ecc14fb5
5
+0,          4,          4,        1,      120, 53695f90b88d8e8cb838f0faec3238d3
6
+0,          5,          5,        1,      120, 40afd1c4dfd4a2e3b31631c46d252bcc
7
+0,          6,          6,        1,      120, 2b656f76f2e84d2f82d9bda2b5be94d3
8
+0,          7,          7,        1,      120, b22f004d678d047bc401be5e040cf883
9
+0,          8,          8,        1,      120, 57c840319abfb9c31013fbde54de3fb0
10
+0,          9,          9,        1,      120, 0f3dfc156216d7cfb6fd1d8c77dadab9
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      192, 0553e56a9d89aea496421885aab491f5
2
+0,          1,          1,        1,      192, b2a14cf676f7ebf3c50450050f76ad16
3
+0,          2,          2,        1,      192, a308d981e09b50571fb0c8ebdcefe505
4
+0,          3,          3,        1,      192, d592ec625a0ac0373e82610c3eed9864
5
+0,          4,          4,        1,      192, acd19642455e643023b4fb882c3891ba
6
+0,          5,          5,        1,      192, 5af5390fd8c29b795e0ddf83f3f34284
7
+0,          6,          6,        1,      192, 473505aa2a76231725cf2107d6c9dbef
8
+0,          7,          7,        1,      192, 84860db6887e320f2d64f80cf0032e57
9
+0,          8,          8,        1,      192, 408e9cf60e99ae99d204ff08f3196d1a
10
+0,          9,          9,        1,      192, d8af96b79258f9382e911ed38340bdf5
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      216, 4c41f93b1b280b37bc77d7047435eaa4
2
+0,          1,          1,        1,      216, c9c80fdba2ebc2b8c3490ae35e34f84f
3
+0,          2,          2,        1,      216, 089d86acb3263fa5ef4f591a7f44556d
4
+0,          3,          3,        1,      216, 938fca6d93b83484144f5054e4838a41
5
+0,          4,          4,        1,      216, e0592e2ac9f5e09525ce0d3904cadf47
6
+0,          5,          5,        1,      216, ea43ff5d1330986e60c08567262ea764
7
+0,          6,          6,        1,      216, 08b40fe109ee90188f1cba9bbb1b376e
8
+0,          7,          7,        1,      216, b067068a2a7e36d5c5b5b405a1e73a18
9
+0,          8,          8,        1,      216, 9cf2d350296288803434b7451bd2be85
10
+0,          9,          9,        1,      216, 3c785e21dc228d6396738fbfcb470289
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      384, f92a7777fd69aa2f2914d9a41c4828ba
2
+0,          1,          1,        1,      384, 62e1cc73487d2249a88a60e35a22d9c7
3
+0,          2,          2,        1,      384, aa2619b605cb65eda15fdd99d5775550
4
+0,          3,          3,        1,      384, e6f0a491c543b835d0cefe5ca62c3dbe
5
+0,          4,          4,        1,      384, 361be1a06913c398f09494ca1b2d288f
6
+0,          5,          5,        1,      384, 0497bf849a973357c0ccb8d43f5bd8b4
7
+0,          6,          6,        1,      384, 5ac6ac523147c409dd00820622161dd7
8
+0,          7,          7,        1,      384, 7d07245574a46c524360f09be29a5f19
9
+0,          8,          8,        1,      384, fcfa7fbcaf42f81e4e34a4ee5a029ca1
10
+0,          9,          9,        1,      384, 336e3fe4f15d3d6c82d82b1855dcfeb4
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      408, f3f2cd8f157466ff23dace85d77367ce
2
+0,          1,          1,        1,      408, 639d9b70a14062e95559c12d2b597f91
3
+0,          2,          2,        1,      408, b2ee07a6656af583f19593229fa11848
4
+0,          3,          3,        1,      408, 74e3b5ab4c798a0afe745694e871bbd5
5
+0,          4,          4,        1,      408, 35f1c30d0f8678f319a392a6c53b5989
6
+0,          5,          5,        1,      408, 07e2b4c0b92a394bfb11124fe80476f0
7
+0,          6,          6,        1,      408, 7864bd20dfc5280e5f027d67ea22bf30
8
+0,          7,          7,        1,      408, 10a2925a7b91dfa9b82de76069388fd4
9
+0,          8,          8,        1,      408, 79cc7f7a149e8d6e04e065f75e63733c
10
+0,          9,          9,        1,      408, 6453d10d97532d9bb03f7c06cba9fca0
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      768, 764bd02b781a38c621a109c12f3d9393
2
+0,          1,          1,        1,      768, 79496bd2b9212026af816b3b7a0587d5
3
+0,          2,          2,        1,      768, 2a3afd47ba3d075033fd94d5c3746c45
4
+0,          3,          3,        1,      768, fca00cad8d37a6646337baebadd0ca31
5
+0,          4,          4,        1,      768, aca376fb3f8a5ef670ecc2430037262a
6
+0,          5,          5,        1,      768, 7e6c8d96d1e24855c3e380f1bf2ce02c
7
+0,          6,          6,        1,      768, 09e051241972969d439f27f324d78490
8
+0,          7,          7,        1,      768, 2566b2a425caaba41305bf04ff10ea01
9
+0,          8,          8,        1,      768, db3995bedee42ada1b4ee63c339daf1b
10
+0,          9,          9,        1,      768, b00b8f1bf4fd907f0487738f5b5442c6
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      792, df20e8df89449fe50bb610e95a449a95
2
+0,          1,          1,        1,      792, 18f1a66d463274d1b0489f3a50e86857
3
+0,          2,          2,        1,      792, b0cc102875a94c9a92e53826617adbe9
4
+0,          3,          3,        1,      792, dfece7c17b4b149283ef51bdc1bd440e
5
+0,          4,          4,        1,      792, 6e346884f67be259fcabe493109cb63c
6
+0,          5,          5,        1,      792, 6d282127311eb2d958377490d7cb77f0
7
+0,          6,          6,        1,      792, 637ac8b14ca5ddbaf7b8910406c3cd08
8
+0,          7,          7,        1,      792, e7980f3fcb36969da0d218c4389fa9e8
9
+0,          8,          8,        1,      792, 730a1c95b9fb165f6e1a2f33a0d25de0
10
+0,          9,          9,        1,      792, 7bd8424d0783b1c8ad617e17408371bb
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      120, e1e66a88615da98523ef887f1463fc42
2
+0,          1,          1,        1,      120, 549842fa98c8faf572882d38b0aae390
3
+0,          2,          2,        1,      120, 17ee85785517705fdc78c6122a4b2548
4
+0,          3,          3,        1,      120, 1143391d419dac30a6c11f366157c974
5
+0,          4,          4,        1,      120, b62d2a962c4c36809ef75a610106715c
6
+0,          5,          5,        1,      120, e6f143ca33fbc0e776bb149950cdedff
7
+0,          6,          6,        1,      120, 01716a1077ec66df00474fd4510d2789
8
+0,          7,          7,        1,      120, 8cb5b6a865fa2cbb15f0d7736fda88a6
9
+0,          8,          8,        1,      120, 0fb9fd883e895a540fe1704dddbbab04
10
+0,          9,          9,        1,      120, 150a3b99aa24ef102c92f87c8adb4386
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      150, 083d638f2e147295d817bb14fff5e4f4
2
+0,          1,          1,        1,      150, 6dbdc445b6fd6bb99f2025cc2a40977e
3
+0,          2,          2,        1,      150, 41714089383b181d64fbfa7de5904608
4
+0,          3,          3,        1,      150, 11fdb8465e1599f7a9227706646d2cba
5
+0,          4,          4,        1,      150, 907876b3342a10040db0851a936af4e3
6
+0,          5,          5,        1,      150, e7b18d47d06b25de205d873d3d941640
7
+0,          6,          6,        1,      150, 523ce7413c8da7f6a657a9b661f36c44
8
+0,          7,          7,        1,      150, 23caff863af875c66c903662a3e1e6a1
9
+0,          8,          8,        1,      150, ed4cc5557203e5b7a119112ee9ceb00b
10
+0,          9,          9,        1,      150, 4bb78a996be3188888d1c60e11a08e1b
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      240, fab07d6209d2413e0a434e1aaaa12154
2
+0,          1,          1,        1,      240, f9ffffdb96f98527ba2e553d1265edbb
3
+0,          2,          2,        1,      240, 56a992264cf7da2b23dd97435e9d0365
4
+0,          3,          3,        1,      240, b1db980423d8004bd45a789b02b92a65
5
+0,          4,          4,        1,      240, b29496aedc7026566367b634f55ebb28
6
+0,          5,          5,        1,      240, 2bc9def672da4a2fc17cbd669e2b8081
7
+0,          6,          6,        1,      240, 8c54721514cdf577a52a8668b9135f13
8
+0,          7,          7,        1,      240, 2efab81d5e039d82b3bc7b0303b022c4
9
+0,          8,          8,        1,      240, bd0f42b91b5d126fd0baec765b1096ad
10
+0,          9,          9,        1,      240, c6bfea2735a629167bc6a7a7c76eb7f3
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      270, 0e9182e214aae732d94d007e5fe44888
2
+0,          1,          1,        1,      270, 2630e2674b5611d68218fddac08815e2
3
+0,          2,          2,        1,      270, d5cdd7d6a3de17939f60bb60ef6877da
4
+0,          3,          3,        1,      270, 29d1961096061029e78963fa82581eca
5
+0,          4,          4,        1,      270, 5c2629f8aa59757f6b4aafa9f6cbcba1
6
+0,          5,          5,        1,      270, 1f1a8b61e4fbd6222ddf42e9d0a07032
7
+0,          6,          6,        1,      270, cfb9771190ac2d0129907102d6abb63f
8
+0,          7,          7,        1,      270, cd98dd856ba573a26a943cbe53221f26
9
+0,          8,          8,        1,      270, ca13c161f067c4a4ce22bd58a2aca55b
10
+0,          9,          9,        1,      270, de4bd1a474a76a35b796a5fc45b4f893
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      480, 622e6407a051ea08706394d03330ffbf
2
+0,          1,          1,        1,      480, 1841a0daf7c3ef7be94e01fdb1d3968a
3
+0,          2,          2,        1,      480, 37790e6cb2415f7add0ac5d3ab354755
4
+0,          3,          3,        1,      480, 91485880e17c292096a7335566d3648f
5
+0,          4,          4,        1,      480, eb6f74983d5fd13d6bd90afbce8836e1
6
+0,          5,          5,        1,      480, 0069ab5ff7f0d4d601f7d0f9b7a08338
7
+0,          6,          6,        1,      480, dbf04254765f7497070387e8c34895c6
8
+0,          7,          7,        1,      480, 410a9b2d9855b2c29618070994adae96
9
+0,          8,          8,        1,      480, 7e7f34effd90209f29f1b9ae01488b3b
10
+0,          9,          9,        1,      480, 471530f74082c01c9b0f1fcf3d240d77
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      510, bfeeaf51f972fd0dfe9ee757083cbb54
2
+0,          1,          1,        1,      510, 10cd4ed6d762004846412d9cd0caa407
3
+0,          2,          2,        1,      510, 04cca4008d656ed180de88dd2ddb4f21
4
+0,          3,          3,        1,      510, ec777e377836895748c06849fa35ed2d
5
+0,          4,          4,        1,      510, b55633d0f9239dff3e45a4abce4a35a7
6
+0,          5,          5,        1,      510, 063c3ab4b4c599942c3a8a5b7bfe5029
7
+0,          6,          6,        1,      510, 07b920169d32b5fc51d5b9ae16fef5bf
8
+0,          7,          7,        1,      510, 8d49e727db9d3072b5ab7bab2133d9be
9
+0,          8,          8,        1,      510, 17441437203447e946a57d2f96966332
10
+0,          9,          9,        1,      510, 5d3f14af0e5cd81d0c7d2059f13efa5a
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      960, 835254d0eecb17bed1f2b0f3a1638165
2
+0,          1,          1,        1,      960, c0c95ce9890eab339a0e0f8b26cb095c
3
+0,          2,          2,        1,      960, f0337d645ade07cb716952b0d19352e8
4
+0,          3,          3,        1,      960, 7e3deb21cb3f0ead90c8af94464cde14
5
+0,          4,          4,        1,      960, c6b1ca6cfce358c411c0637c581157c8
6
+0,          5,          5,        1,      960, 10fce3f11f1ce90286ff4d74fe44fcfd
7
+0,          6,          6,        1,      960, ee0565a1f121bc905a35550619127a50
8
+0,          7,          7,        1,      960, 0624b601d379616eb792c94be60b6c91
9
+0,          8,          8,        1,      960, a1bb79cdf347548f1103f580f2b6930f
10
+0,          9,          9,        1,      960, 40e96e16c7e065aa7932e5aa57f32398
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      990, 1bd8b2d3bf679c4b925780bf82e12fae
2
+0,          1,          1,        1,      990, a0254b4cd4928fe1080cd6f8828288a9
3
+0,          2,          2,        1,      990, e416e99644cca481dc2806708d716ecb
4
+0,          3,          3,        1,      990, b1ed3203ffc77ed814f1cda7bfe721d2
5
+0,          4,          4,        1,      990, 0ff7b9d84765f7b0b0650775ba72b334
6
+0,          5,          5,        1,      990, 8b6cd91e035bad19b46b132bd411231d
7
+0,          6,          6,        1,      990, c714759a9a64402043ad00e5677c954c
8
+0,          7,          7,        1,      990, 8e4738010b724ce66bcd0a5d5afcfbc1
9
+0,          8,          8,        1,      990, 998a7aab8ed94f4b69bed39fb487f8d5
10
+0,          9,          9,        1,      990, 9964683a15a65c032631a4f608e6009b
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      192, 68dccd167f9aa18df0840ebb8715eb68
2
+0,          1,          1,        1,      192, 65c90bb99fdbee7abf21031d34cb18dc
3
+0,          2,          2,        1,      192, 9ef1feb2dcbd4d73f3ee84e9e1cd2668
4
+0,          3,          3,        1,      192, b6281f7c88e9aa132d3902046f8cde5a
5
+0,          4,          4,        1,      192, 4b439b716a294bddf9f56a229705907b
6
+0,          5,          5,        1,      192, d42c0a6f0d24522c90bc2233bc1df2c7
7
+0,          6,          6,        1,      192, 74b763a5a12c4c4a581efb1818a92970
8
+0,          7,          7,        1,      192, 0c3a0916ddfda5abdd3ac382f036e71f
9
+0,          8,          8,        1,      192, 26ff590e8ae726f70e8b36f5eaee7a19
10
+0,          9,          9,        1,      192, 30fa5810995d7132387ea585c4a1cc3a
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      240, fb3cad61d7d9eb511758dbf87dd8abe1
2
+0,          1,          1,        1,      240, 4fbc1aa5559c8db2930803893bd6ba75
3
+0,          2,          2,        1,      240, 2d8e2ee04dcc6097ca9e3f27070cdcc8
4
+0,          3,          3,        1,      240, 05d419f1322855ba3620665b68ce9910
5
+0,          4,          4,        1,      240, b004f8d88cb2c94f4e9a13cfa5bd480a
6
+0,          5,          5,        1,      240, 9d9dec90e2213c0411939131aa9adf7f
7
+0,          6,          6,        1,      240, a00874356ff1b1e9da1a400424661f8d
8
+0,          7,          7,        1,      240, fda587eb6323cd98c773f05905ac1794
9
+0,          8,          8,        1,      240, 781c63d221a04d8130806c799d16753a
10
+0,          9,          9,        1,      240, f346e311829f3789dc5a94da48ada5f4
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      384, b5c9daafa548e54a8e33e9881fda33f4
2
+0,          1,          1,        1,      384, 1193acd7ea4b7aac968e35ef83c64378
3
+0,          2,          2,        1,      384, cd0e42c0b5a8b3be6f0e1d224062bf99
4
+0,          3,          3,        1,      384, ed79c71d17f68f86cbfa75ea2bfe97f3
5
+0,          4,          4,        1,      384, 1502a859c7e07b31faad5b80e3e27cf7
6
+0,          5,          5,        1,      384, df3f093da914ea947db93c3baa188ecb
7
+0,          6,          6,        1,      384, 480f86eb183b99277c1b38fdaafe2970
8
+0,          7,          7,        1,      384, 023e0114282e04963f0f52e00e65ac61
9
+0,          8,          8,        1,      384, e67f29cf0acc7f9b553458e1e5c59ebf
10
+0,          9,          9,        1,      384, a779a14ba718f0c1df8a7edc9467d12e
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      432, 5156b11cd9995d0c1638c9b0d2b0786c
2
+0,          1,          1,        1,      432, ef78557f93fb3ea770c7d49ab60edf21
3
+0,          2,          2,        1,      432, f31fb9bb14566e4538a45ac7bf398b2a
4
+0,          3,          3,        1,      432, 97633875537f76ade183e975fa91b0fb
5
+0,          4,          4,        1,      432, 602cf54f9af852175173c21abd63796f
6
+0,          5,          5,        1,      432, 0b3741a6842cb65d6d21eda891882033
7
+0,          6,          6,        1,      432, 44240a27a6b6d36c9661d499fb965f87
8
+0,          7,          7,        1,      432, 9050f263f9a4767f9323ec8aa42cf7e6
9
+0,          8,          8,        1,      432, 57fa3a8494375f588a95376bc0c3cb28
10
+0,          9,          9,        1,      432, 084595f2a65aa10e7d3845044a0e7213
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      768, c73d611490a5ddec6c690589deaf5e86
2
+0,          1,          1,        1,      768, 5d8eaeb222aa64abda59ce7b09b2f6d9
3
+0,          2,          2,        1,      768, 34321856b8dd5bbb9b63db04d3532289
4
+0,          3,          3,        1,      768, 947337d2fec8a09242f60e31e99f4065
5
+0,          4,          4,        1,      768, bb7d92f6fc055f0cf0e97bd2be56cc9e
6
+0,          5,          5,        1,      768, 5d343c82bcdd0e9d08581043cddfd0ca
7
+0,          6,          6,        1,      768, 612ded93207712e4916d584cc4a7b87c
8
+0,          7,          7,        1,      768, 6ba5e0d19893e1b96f5ca86e0bfd7e18
9
+0,          8,          8,        1,      768, 336572e1dcb110b1eb87bea81e0752f4
10
+0,          9,          9,        1,      768, 705f73d0a39afce59ea571e68bfe25df
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      816, b8bf711d9a1ce49180ed56407c8a4b0a
2
+0,          1,          1,        1,      816, 0457929b06ce46aec63d66bd38586e3f
3
+0,          2,          2,        1,      816, 3b5f417ee5a936797a6f0d138b8ed73b
4
+0,          3,          3,        1,      816, 5d1a42aeecfd5c8513cb2df94c206c8b
5
+0,          4,          4,        1,      816, a0ab2dddbc810a1667d779f6ed69d010
6
+0,          5,          5,        1,      816, b150cd7c4ec83e6f9d948e99d7465350
7
+0,          6,          6,        1,      816, ea39622ad21312bd8bcecdaf09aa18fb
8
+0,          7,          7,        1,      816, 467a42e1226a01c8ba244f312f588bab
9
+0,          8,          8,        1,      816, f2311e15228ffc7fd377b89c203d0fbf
10
+0,          9,          9,        1,      816, 5df58b3ac0a7856796a46f27be7dcf4c
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1536, 925fdc485f3baa1ed145ae391519d7fd
2
+0,          1,          1,        1,     1536, d37af656da2d7a727c8451773495d5ed
3
+0,          2,          2,        1,     1536, 8a0f207a99e46f3d3b2aaa3f1b061981
4
+0,          3,          3,        1,     1536, a3914c7b739d3af2641fd6aae35428ef
5
+0,          4,          4,        1,     1536, 0ba3b49970d7b029f2dfa991fdfc6e61
6
+0,          5,          5,        1,     1536, 55838d1d787dc5a4fa4da2994f04587f
7
+0,          6,          6,        1,     1536, c089f7ba2b2983df2a4dc2e07798af31
8
+0,          7,          7,        1,     1536, c23dcb3b109543a61ccfa404a726caae
9
+0,          8,          8,        1,     1536, 01aaf09960f5ca599ca32768f017d0c9
10
+0,          9,          9,        1,     1536, 79fe955692ecba8bbb00b20a42ca8104
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1584, c7b0d91f362dff0a581434af6e902d43
2
+0,          1,          1,        1,     1584, d8b016ef59c6bc193b29d1c714f342c1
3
+0,          2,          2,        1,     1584, c520bd8d4b81aafc7687befff66c7396
4
+0,          3,          3,        1,     1584, 92e81bbd3af675c9cdb1cb00d03dabe1
5
+0,          4,          4,        1,     1584, a271db3defe5daa6d9e0a73a580f4f88
6
+0,          5,          5,        1,     1584, 4077e857321e241bb98dfd89c0aca46f
7
+0,          6,          6,        1,     1584, 0466e1453a94baf876e9f64b60235300
8
+0,          7,          7,        1,     1584, 9d2cb9c7b180d44841e0e4d8a595d912
9
+0,          8,          8,        1,     1584, 500f443eeb0ecef47c34d1e91f0df6ce
10
+0,          9,          9,        1,     1584, 83354487982915c33b1c6243d80adaeb
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      216, 3219af4ef540636b0f67a989e9966059
2
+0,          1,          1,        1,      216, 1a3655c2cfd2ee332bc89da5b3faf778
3
+0,          2,          2,        1,      216, d638d5b361a6d81440e26993ed86c97d
4
+0,          3,          3,        1,      216, d9bc2e7cffd66db4ba9dcbce99448d4d
5
+0,          4,          4,        1,      216, 399f962e0a0573915bc4da4a9f1effcf
6
+0,          5,          5,        1,      216, 69d917e19b903e4f07f848e9e557bbe7
7
+0,          6,          6,        1,      216, d6311488a58acf6eb0cc45bc4fe3c2da
8
+0,          7,          7,        1,      216, 0ce360a84d5755307f98d65c83f190e1
9
+0,          8,          8,        1,      216, 2554828e6dbf94424ccac30fb153872e
10
+0,          9,          9,        1,      216, 598a55f9735e85b8d45105dd6be7f97b
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      270, bf574489e9360b6475aa012c747e7924
2
+0,          1,          1,        1,      270, 851100301c2937312a6fd32f5aab5a09
3
+0,          2,          2,        1,      270, 0f7c1209e44ea7cd4df12d82f9224684
4
+0,          3,          3,        1,      270, 28d121f9c40de5280435bfdeaec0c072
5
+0,          4,          4,        1,      270, bb00898d03ce4dff5f7bee719dd3f5b5
6
+0,          5,          5,        1,      270, a098cc66bc25b81f84b0e930b0915cdb
7
+0,          6,          6,        1,      270, 81e25f19bfcbfce17bd7138eedae04ee
8
+0,          7,          7,        1,      270, 69c36c5ce555a461f16a1733450f7258
9
+0,          8,          8,        1,      270, c95236d9e7c624bb664310bd9ef47fb4
10
+0,          9,          9,        1,      270, 7ab0942e686939951037314e9402d2c1
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      432, 9535aaa2ea26fbdc16e7fe9cba3fc9b4
2
+0,          1,          1,        1,      432, 7f6e7ca33c0b27ff052dc2ab6721e37d
3
+0,          2,          2,        1,      432, d37e3f169457a9c7f2a197353e39d3d6
4
+0,          3,          3,        1,      432, f26d7d81dd81d051680ea2485e812705
5
+0,          4,          4,        1,      432, 704b01955ced6d101b9e9315d3327f28
6
+0,          5,          5,        1,      432, 30d46d6a0f6be383dede451cacf465f4
7
+0,          6,          6,        1,      432, 83c7ed04f0af61ec665041967cbce05d
8
+0,          7,          7,        1,      432, 152daf37dd37607886c50dd4c7796357
9
+0,          8,          8,        1,      432, 609d807351ba74b1c432e3d0516add91
10
+0,          9,          9,        1,      432, 67953f0c735984232cb6782217cdcdf6
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      486, 83790b0e7004d8d89b7134ee1a88d885
2
+0,          1,          1,        1,      486, 0baf0bf556ae56d2f4b04567e6ac7ed9
3
+0,          2,          2,        1,      486, c648854a4d49f7e407a2450cf4ba292a
4
+0,          3,          3,        1,      486, 510c3aca23339841ffc72ed5c75d184e
5
+0,          4,          4,        1,      486, 1c1f3116ec4d4ee1ad790652e49233ad
6
+0,          5,          5,        1,      486, f94891f4e16fd32d638a2c696f5922e6
7
+0,          6,          6,        1,      486, e164814c22e38cbe45312dfd48d987fc
8
+0,          7,          7,        1,      486, f582515fcc6c4308ad931d2f6cf371a0
9
+0,          8,          8,        1,      486, 0a446974bd227ee34a1621a2b7852abb
10
+0,          9,          9,        1,      486, beca28bdae8d1fe20036b3646f3109cd
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      864, 62eabc8819ded6ddba2c3a5029497cf0
2
+0,          1,          1,        1,      864, b760182fddf8bc05f149e80bbcb2c281
3
+0,          2,          2,        1,      864, 0c44be0472ebd2653ce9fb174c6180ab
4
+0,          3,          3,        1,      864, bbb033c3bfeeb6f59cb43013597b9d92
5
+0,          4,          4,        1,      864, a769975cdbc6529525f7cac8a0d9299a
6
+0,          5,          5,        1,      864, 15b02059bbced62f19c0626efea1ecb9
7
+0,          6,          6,        1,      864, 47f4b50322ed31649bdcfffb05c70fa2
8
+0,          7,          7,        1,      864, 8649cdd0a958047839f5b6e7bbf6f288
9
+0,          8,          8,        1,      864, 2c766e3fd3882a9a5aff52ffe9d1d341
10
+0,          9,          9,        1,      864, 184a62b7332a1c24acbf03f670fb7ac1
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      918, 612cc424eaae924cb25c7732c422f752
2
+0,          1,          1,        1,      918, 010e8c2a814862529fcf8d7771ba2d7f
3
+0,          2,          2,        1,      918, 7d791b7a5916738998f77586339d5840
4
+0,          3,          3,        1,      918, aeada5f59f3dda9ab3e898f305428cb2
5
+0,          4,          4,        1,      918, 06af894d38a1f0d3665c0081f5397ddf
6
+0,          5,          5,        1,      918, 24bf31323c568e652550e9d35de9c96c
7
+0,          6,          6,        1,      918, a9681ec47d3e6a19321b9ea47221dc3f
8
+0,          7,          7,        1,      918, 73ae7268df79c4012952bd3e8011e894
9
+0,          8,          8,        1,      918, 67aa4145398ca17036959251cb4ce17b
10
+0,          9,          9,        1,      918, de247b80114c722da849f5aa23adbb38
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1728, 72c74de547d9ed1b17bc962dbd5e0bb1
2
+0,          1,          1,        1,     1728, 462849f9e2204738e9f08b40e682a6ae
3
+0,          2,          2,        1,     1728, f0ee17692fd816747b11d5737b511cda
4
+0,          3,          3,        1,     1728, 0234d23406660ede76dd22b35a708390
5
+0,          4,          4,        1,     1728, 6544fdb9dc225d155820d3c7dfc909eb
6
+0,          5,          5,        1,     1728, 1c073544794389596177512fb4dcffce
7
+0,          6,          6,        1,     1728, 864709daac7b091d33afa2210c145084
8
+0,          7,          7,        1,     1728, b049c4ac941743613ede9a41b16acde5
9
+0,          8,          8,        1,     1728, ad0c4adb0efec03729a79f42eec66267
10
+0,          9,          9,        1,     1728, 146057d941f5a47eb8b2c9eefeaf3100
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1782, c3fc4a1593b9cc2f3752106af8539386
2
+0,          1,          1,        1,     1782, 7f2ffe6bc1750f6749bb5ad12cbaf34b
3
+0,          2,          2,        1,     1782, 2539b10a981d59ef54efd77cd7276aaa
4
+0,          3,          3,        1,     1782, 0bff22b4dfb7485fbedd6ff5b99673d1
5
+0,          4,          4,        1,     1782, 6a2b38f4abee785260a61bc60f16e7fa
6
+0,          5,          5,        1,     1782, 2fbb69b5519b51548bf1ee425ff79c55
7
+0,          6,          6,        1,     1782, dbd267028be2256111b2411b91fcc117
8
+0,          7,          7,        1,     1782, 12b2f1003633c9e19cae3d0fda06102d
9
+0,          8,          8,        1,     1782, d419a756c492867523af5185fd57d989
10
+0,          9,          9,        1,     1782, 8a7d36760bf5db32baef349b97316b47
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      384, c7b30cde5664387b0f7a80d9b01e4fe2
2
+0,          1,          1,        1,      384, 2228a2a4e54ab5145525e5803c314dcd
3
+0,          2,          2,        1,      384, 8c048469eba24f3163c36b7461b3b42a
4
+0,          3,          3,        1,      384, f6b8e8e701dea09dcf1158e9a52921c6
5
+0,          4,          4,        1,      384, b3a5fde0daf2eef8fc08521f88f79692
6
+0,          5,          5,        1,      384, 653ae11cc1380ae7f39b2e007f896d81
7
+0,          6,          6,        1,      384, 6e66fe002a7dff95e13cc9d3d13d9686
8
+0,          7,          7,        1,      384, 13308c917a1e22c2f702afc32b8a23c2
9
+0,          8,          8,        1,      384, 4fee1e63f9452dc3f81c1d634bd7f41d
10
+0,          9,          9,        1,      384, 666b43ead5c7c99ae5b7637da5aa4d62
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      480, 7c5b5df373ebfd31d210ff910e02213b
2
+0,          1,          1,        1,      480, c5b0a5e3eceb792b15818324a43aa2a8
3
+0,          2,          2,        1,      480, 1d9c0eafd4638dfe4fe308174fde2faf
4
+0,          3,          3,        1,      480, 47301d12055944b35008028761cf5e7b
5
+0,          4,          4,        1,      480, 9586ac1087423dcd3b0ff96d43ae475e
6
+0,          5,          5,        1,      480, 26bfe1afea96c7ef2084fffd1fa99a33
7
+0,          6,          6,        1,      480, 0995c8a1935266159a7ef3f95d7f4697
8
+0,          7,          7,        1,      480, 8cfcc0ea67507ab7f3551d8ac50f93a5
9
+0,          8,          8,        1,      480, 658cf3cb887b055d9de7d50db4eb78a9
10
+0,          9,          9,        1,      480, 856bd5189688f7ccfe9995752bc0f1f6
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      768, 7c2818db2632e5c5beee17e7105d9209
2
+0,          1,          1,        1,      768, cead72bd22995e98b54a91c7b4a20975
3
+0,          2,          2,        1,      768, eb6baee5d65d778052c88ba5db2f9174
4
+0,          3,          3,        1,      768, 1f5f38e89e985e9e4172446de05e91fd
5
+0,          4,          4,        1,      768, 57b57ffcb03627942fc5868324a10feb
6
+0,          5,          5,        1,      768, 4b4066a452d8e9cd687cd611f5d9cb88
7
+0,          6,          6,        1,      768, 113e5069b2a4d2c2e802b72649eb435d
8
+0,          7,          7,        1,      768, e176bb233f76f9fd4c55d62d53487b60
9
+0,          8,          8,        1,      768, f2ff3def712a846ea7b678bd9078e32b
10
+0,          9,          9,        1,      768, 21007ed1c727c5ccc5955188a2cec276
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      864, 9da5409d344e7b8380688569e54803a5
2
+0,          1,          1,        1,      864, 9b51e14e2e624ee2b430e9eaf1a48798
3
+0,          2,          2,        1,      864, b8811779f363b9a595e3a92737771ea9
4
+0,          3,          3,        1,      864, e5a0c335e5e713a3e77fff0b65127fb9
5
+0,          4,          4,        1,      864, 1bffa3283b463a356794c8f7a73f8c54
6
+0,          5,          5,        1,      864, 97c13270621a583eb9e13c05f9d792f0
7
+0,          6,          6,        1,      864, a6f81a4dde1ffc352ebe9d8ab8782f35
8
+0,          7,          7,        1,      864, 91a955a86ce9378ff3442794ce0934c6
9
+0,          8,          8,        1,      864, 2e4f8938e9c88b328a258a0b99366ea6
10
+0,          9,          9,        1,      864, adbbbc192cf36e1fc7c308824765d482
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1536, 117915db1856cee26f05a609c8c8de2e
2
+0,          1,          1,        1,     1536, 943771a98b26b174e88ed1f4e872e504
3
+0,          2,          2,        1,     1536, 3e0d2585e1f1cb540998d107aca5c395
4
+0,          3,          3,        1,     1536, e64a9e1e0232983a69ab48453025b23d
5
+0,          4,          4,        1,     1536, 2c6ef6637fb7b9425f7d7ea28cd84087
6
+0,          5,          5,        1,     1536, 419a5a31a43955d408c13ee8a5ddce9c
7
+0,          6,          6,        1,     1536, 2ab13e1c236553d42d59498ca350b190
8
+0,          7,          7,        1,     1536, b8068beb037f3232d4da38fe33a8a885
9
+0,          8,          8,        1,     1536, 160df68b9e3f75e9b1f8ed7cce327bc2
10
+0,          9,          9,        1,     1536, 1ccafa8c7babdce0983aeb20d298b0ee
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1632, 770582911fd0095ebbeae384e87665ac
2
+0,          1,          1,        1,     1632, f99d7e3131f04413cba2f9de6818976d
3
+0,          2,          2,        1,     1632, 3bfbb8c9c48f24cd596973a6deb33a3f
4
+0,          3,          3,        1,     1632, 0b8166afdd357f20c76f77d228bb7171
5
+0,          4,          4,        1,     1632, 3a3d7f2a03e19a82250d6ca0238f9791
6
+0,          5,          5,        1,     1632, 9b558f9b8744b016059f69f3fca90d2c
7
+0,          6,          6,        1,     1632, c857736342f1145d919cb77732120006
8
+0,          7,          7,        1,     1632, 11dc5dda4c883a3146db060dd50343d0
9
+0,          8,          8,        1,     1632, 7526a62ae87de174be86eac7bb36c7f3
10
+0,          9,          9,        1,     1632, 9ef38f47cfc461710ff0dd75690473c0
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     3072, caa8471a8b381d53c3e8fc627946a871
2
+0,          1,          1,        1,     3072, 2cba86ea14c0f28e242625b08f5e9b88
3
+0,          2,          2,        1,     3072, cea0440ff6569fc82c3030e0340fb649
4
+0,          3,          3,        1,     3072, c18ef37f1356ade96a2f40af954b31c8
5
+0,          4,          4,        1,     3072, 21e6e549378bcff47913ef292e74dc37
6
+0,          5,          5,        1,     3072, a9d3d483f74a5afe5d80725ce696fd20
7
+0,          6,          6,        1,     3072, a436e2586b0963747deaf5e450e2b230
8
+0,          7,          7,        1,     3072, 9daaadf265df56974cb0950843d9fd8c
9
+0,          8,          8,        1,     3072, e0b84714bad2519e62b7d16705fb09d5
10
+0,          9,          9,        1,     3072, 8cdfce574edbe548da7f6cd9a7076b9e
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     3168, 920ea4b8a00d41489d122d641d6e4fe5
2
+0,          1,          1,        1,     3168, 8bfc8d452a79f2978b8e973b77cbf8a8
3
+0,          2,          2,        1,     3168, 09f3f0d31d3377a844fa5385d9b36b9f
4
+0,          3,          3,        1,     3168, df43fae763da9360c8062bb92ee091a8
5
+0,          4,          4,        1,     3168, 445d8c675bb865d1814fcfa6b8a9afd3
6
+0,          5,          5,        1,     3168, dc7d43db86aac6636724de8790eda555
7
+0,          6,          6,        1,     3168, d3a9fc272424449ffc5b7e69f8f9948b
8
+0,          7,          7,        1,     3168, 11ef33b9bccca54b3703bf24ab55e2d6
9
+0,          8,          8,        1,     3168, ce31b8bf9b00b427ca956abb800d8034
10
+0,          9,          9,        1,     3168, e707f824d6e95d482bf3a0b4d52ea069
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      408, c14f2ba5b4582c9d3a488976814691b3
2
+0,          1,          1,        1,      408, 4387a4dce19007b7efb810b5a4069749
3
+0,          2,          2,        1,      408, ecfe868d28f4861a5612edfd57447a02
4
+0,          3,          3,        1,      408, 5cba54f568534d29169ac31c8fa505e0
5
+0,          4,          4,        1,      408, fe9aab7b3378b9fc3e373ee626b887db
6
+0,          5,          5,        1,      408, fce72dfc7f9c0cb50ff73761b4d82c1f
7
+0,          6,          6,        1,      408, d4d98f42b1377e0f0ffaa66aa81d40c3
8
+0,          7,          7,        1,      408, 65c027646dc95a749ce2d7ad0a6beccc
9
+0,          8,          8,        1,      408, 317b283a0d907270f671272771022e69
10
+0,          9,          9,        1,      408, d3e2c008584608502f3e24c5c5f64028
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      510, fd7212b519783cf4831ce4bff91f2312
2
+0,          1,          1,        1,      510, 9768722ee939d80a6716865fdebca33d
3
+0,          2,          2,        1,      510, 328ee0f774eeafde00dcc4b9a8f4e9af
4
+0,          3,          3,        1,      510, f882fa6015fcb042094eadab5fa952cf
5
+0,          4,          4,        1,      510, 4331a3dabeae27d2bf3590eb96ce914a
6
+0,          5,          5,        1,      510, 0e15106bd8e90377f6ed8b464d17159c
7
+0,          6,          6,        1,      510, 8f062653ac2b83f7e541393e838d0e0f
8
+0,          7,          7,        1,      510, eeb98c1728c1a74510f8bfaf10fc0002
9
+0,          8,          8,        1,      510, 30bb058a67d6a5ee3693b21cbca5349a
10
+0,          9,          9,        1,      510, 7ce4b79983b3abc37b141a3bea56e0b7
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      816, e443c43101be00470c6a61c1a2995b5a
2
+0,          1,          1,        1,      816, 1e79b1b46ec704d360b5fb725913b0f1
3
+0,          2,          2,        1,      816, 6d5e77cafab6bc43498980c515d299d3
4
+0,          3,          3,        1,      816, 91c3bba5fd2aa29ee54c8f3783cfe5a2
5
+0,          4,          4,        1,      816, 9548d07c2a6204694d34e973e8339077
6
+0,          5,          5,        1,      816, 6819a34c7e3c13bee3ea2b18e12e92fd
7
+0,          6,          6,        1,      816, f75920457f01f65bf30ba1ec41076d4e
8
+0,          7,          7,        1,      816, 3a04f6cc0c348c21464b173ac6005043
9
+0,          8,          8,        1,      816, 93a3336374e8cc4dfb2c0b4716ab60ec
10
+0,          9,          9,        1,      816, 148af188b8a2ee93de406a01c2af180d
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      918, ab7eabb355e5163e7451945018fadebd
2
+0,          1,          1,        1,      918, b9a77cc0c769535808996a6de7b374ff
3
+0,          2,          2,        1,      918, bd773f11d89091b3c9ebc22d8291dd49
4
+0,          3,          3,        1,      918, 278c215d6c188752818f07f4d317c0e0
5
+0,          4,          4,        1,      918, b59856932c675c1ba587644c23cdb002
6
+0,          5,          5,        1,      918, 2bcaef04f89326a56025269a68742043
7
+0,          6,          6,        1,      918, 5abb4a1b96b4bc003cd19a146347c54e
8
+0,          7,          7,        1,      918, 26e36058f451ff80d498ac1c0343489f
9
+0,          8,          8,        1,      918, 57ac43fcc6f1a2c863188aca68d52524
10
+0,          9,          9,        1,      918, 282467118b5b7a986ccd28d16dab3ea7
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1632, 7e334867e27046fabf0f39365311c38c
2
+0,          1,          1,        1,     1632, d2a49216ecedea62f546e54c1552f163
3
+0,          2,          2,        1,     1632, f66e10d1779533e5b6e2b98369134833
4
+0,          3,          3,        1,     1632, 0054b8d4393df58eee87784862a29901
5
+0,          4,          4,        1,     1632, b9cdf3ebea0d1e3f1e0c42db2e11a3c2
6
+0,          5,          5,        1,     1632, c08a728d955a559457c82e44c3296148
7
+0,          6,          6,        1,     1632, d05f4c4a8b0e606525c3d388d26a9351
8
+0,          7,          7,        1,     1632, 78fc2544da88a1a21d6626b0f7bbcf8c
9
+0,          8,          8,        1,     1632, 90832c4fed05390377551359bb9a91f7
10
+0,          9,          9,        1,     1632, 5290a0e77081863398f36c7ae192710b
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1734, 1bb98ba89abf6b86f47a851f8126e1ff
2
+0,          1,          1,        1,     1734, b960cc795c179afe7eec360c57fddd7f
3
+0,          2,          2,        1,     1734, a93cd094a80c542ecb7b6ac7720c5eff
4
+0,          3,          3,        1,     1734, f1cd34e4f0bf9b1238769f028708b742
5
+0,          4,          4,        1,     1734, f01437ad14450d2136a8fc971f180eb7
6
+0,          5,          5,        1,     1734, 8778230f1182c2227bf1e253bd85df4c
7
+0,          6,          6,        1,     1734, 1d1d5cf6c5cc9e73a1fa5b882e441d74
8
+0,          7,          7,        1,     1734, 2f7a1867487c56c252e35225f71adb55
9
+0,          8,          8,        1,     1734, 1d1aea21f70ceed596f22ec32d8712ee
10
+0,          9,          9,        1,     1734, 260e66df92f32bc853f4cd4ede692ea4
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     3264, 3856635223f578e1e7f7e7250a53cb8d
2
+0,          1,          1,        1,     3264, ee8d7c3a0ea165420d7e733b9e59219a
3
+0,          2,          2,        1,     3264, 3d33f06bac22131f04e3411fc216dc02
4
+0,          3,          3,        1,     3264, 7aea667775077de32250dac25fd24bb3
5
+0,          4,          4,        1,     3264, 43fb534551f153c5e9e60240df0bf3b4
6
+0,          5,          5,        1,     3264, d42b721aa2242d4258d97f840fdcc901
7
+0,          6,          6,        1,     3264, e876200d720cbe6e36e0ffb775c5ad6c
8
+0,          7,          7,        1,     3264, 453078449d8701270564086e58a1d69e
9
+0,          8,          8,        1,     3264, 22cb799a817d45a7591489e6faa31cb9
10
+0,          9,          9,        1,     3264, 628dc3f03bf5dd5cae135ad1e4b9ebf7
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     3366, bf4e568217906ee4b58dc4707bee8ef6
2
+0,          1,          1,        1,     3366, f823f8c7b6e47ba43215f3becd35208e
3
+0,          2,          2,        1,     3366, 1d986d65b502e77764428e21e77503a6
4
+0,          3,          3,        1,     3366, 73520382bc54d6aee165402518dd7b5d
5
+0,          4,          4,        1,     3366, c84e943758f2d7e37126172728838640
6
+0,          5,          5,        1,     3366, 1d4b298da98e4b66b31ad6874f726aa6
7
+0,          6,          6,        1,     3366, e67748eeb3c818deb8b51d321cd16a9c
8
+0,          7,          7,        1,     3366, 4d1514c63e669261beef9e35b04c241e
9
+0,          8,          8,        1,     3366, 57705e2131e2129efbc68b74a1e0459c
10
+0,          9,          9,        1,     3366, 681acf1b384856d6e544d8e7a79fc628
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      768, d801797c94039b0a166d46e151ec912c
2
+0,          1,          1,        1,      768, 161ec22caa3689b214d9ab993424584b
3
+0,          2,          2,        1,      768, 499b589ecf1873e388c256ce948eabb9
4
+0,          3,          3,        1,      768, 22bc77650e3df70e3e36f2a1b8d8aa71
5
+0,          4,          4,        1,      768, 750e40530257a68211596a60de18bffa
6
+0,          5,          5,        1,      768, 4f812a92157e7186642656b59bc28a3d
7
+0,          6,          6,        1,      768, a3f141cec127a2c2e16740b8dd4ce56a
8
+0,          7,          7,        1,      768, a5ba9959bf65ab6e254e5b359a3d59b5
9
+0,          8,          8,        1,      768, baa72b8a57277d9e9ad4b92aab04f5d1
10
+0,          9,          9,        1,      768, 4cb9aebb6c9d5bd164461726de201549
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      960, 97eb5fd0599d482662eb0a1def5c5ef2
2
+0,          1,          1,        1,      960, dfdc1b61b478dcca8d411021486aa2ec
3
+0,          2,          2,        1,      960, 2cf560f068bdcb9e345951739091808e
4
+0,          3,          3,        1,      960, 33cacb04c0797fc7bd774251e04b7fb9
5
+0,          4,          4,        1,      960, 7fca126c0542c0dcdcf769b156bd85f5
6
+0,          5,          5,        1,      960, 8a46c5a48cb5bd34be8e647c127f8d61
7
+0,          6,          6,        1,      960, 1ddf07562c0b7dc68ed61b8e1a09fcf0
8
+0,          7,          7,        1,      960, d75911d5eb7fc75ffc3ee40344fc7ed2
9
+0,          8,          8,        1,      960, 498329c8a01d950286af11e1fcf3ac07
10
+0,          9,          9,        1,      960, 7a6ec019df5f3e419d389699094f87c3
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1536, a43068a364cc42619e62406dcf17ddfc
2
+0,          1,          1,        1,     1536, 94691f93299bbf5b6ba3022b02b3e069
3
+0,          2,          2,        1,     1536, 3c8fc275490b4daf63ef6d8f9b7f81f6
4
+0,          3,          3,        1,     1536, 96c06031f0fcad49dfed256c5c737d07
5
+0,          4,          4,        1,     1536, f722d3a51790b55d070d57d3b9a53d0d
6
+0,          5,          5,        1,     1536, a753b3dfe13f5778f9f054e73e512ef1
7
+0,          6,          6,        1,     1536, fa12cbe6cbc38fa8a38ecbcf1af8833c
8
+0,          7,          7,        1,     1536, cb42303391ef6f76f77d14d2600cce12
9
+0,          8,          8,        1,     1536, e0c18bb1d4dcc8168b5fdd7c7963987e
10
+0,          9,          9,        1,     1536, 581b5291cb60e50326c0dfa6a2d09d8a
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1728, adf7e84a351847683f6a8dd177019e29
2
+0,          1,          1,        1,     1728, 8227cf283a27277fbab3d7826e340337
3
+0,          2,          2,        1,     1728, a5551b16db948e395537310d12128e76
4
+0,          3,          3,        1,     1728, 4b57ed07dbc15de9ab6143656b2a7e8e
5
+0,          4,          4,        1,     1728, a15489495f0acc41f446e9689e4142d6
6
+0,          5,          5,        1,     1728, b0a0d5d3ff756e8ae19797455432755c
7
+0,          6,          6,        1,     1728, 094a440243d36edcdd3e9d0d070de011
8
+0,          7,          7,        1,     1728, a780bd61e1abbfbb28581784531608bd
9
+0,          8,          8,        1,     1728, 55886a8c7aad65683aa9366a38382512
10
+0,          9,          9,        1,     1728, 5ae5b24383f66720a62ed1001664051f
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     3072, 931ab6a2482c3e84bc7ef8dfbc251307
2
+0,          1,          1,        1,     3072, 3552a9d8470a64ed627a6dbb799b7811
3
+0,          2,          2,        1,     3072, cae1863fc606a0e3df3e708b7eefdf99
4
+0,          3,          3,        1,     3072, 4b825a07e235c4708b12a726da8e4cdf
5
+0,          4,          4,        1,     3072, 0dac578ef616a13be2b9db3c0d775524
6
+0,          5,          5,        1,     3072, bfd47cbab8285f301777351c8bc5553c
7
+0,          6,          6,        1,     3072, f29f9a0cfeaaae3bdeb26933bc7c17dc
8
+0,          7,          7,        1,     3072, c7f3a4d24dcf72ef195a402eff77d8f6
9
+0,          8,          8,        1,     3072, 88ede6207441a7953cf893032c353663
10
+0,          9,          9,        1,     3072, 258f4e86541813e3edb1fe5332ff4ab1
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     3264, 68d00958a78e6252dd75d632806e2022
2
+0,          1,          1,        1,     3264, f7b6266e74200a669eecd241db787ee2
3
+0,          2,          2,        1,     3264, c8b88d43aee037857310edeb74bc66f4
4
+0,          3,          3,        1,     3264, c6d9a52baf3ca962574bff1364fcb8dc
5
+0,          4,          4,        1,     3264, b384fbf3ceef0affa69f5e81681edc6e
6
+0,          5,          5,        1,     3264, cd473f0c8d1cde98153402123a3ee7cf
7
+0,          6,          6,        1,     3264, c0f320a23c3e39719a3b3590fe3c2ab5
8
+0,          7,          7,        1,     3264, 751207d15a791728c1022f711a25cd68
9
+0,          8,          8,        1,     3264, 7396df89a0d88044cf7527420d193636
10
+0,          9,          9,        1,     3264, b772dd247838b0c3ed12713447894323
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     6144, 35f17db9076fa20368fddfa01543c746
2
+0,          1,          1,        1,     6144, 61cd775dfc177262da9a91d3912e6718
3
+0,          2,          2,        1,     6144, 8b8cf175f91425d703332b22b46c1c0e
4
+0,          3,          3,        1,     6144, 6041afbdd81e228f8f16384d3f9e988e
5
+0,          4,          4,        1,     6144, d30bd08897b50f518920014c7fa55df9
6
+0,          5,          5,        1,     6144, fb67222a183876b502f93e48bb779b70
7
+0,          6,          6,        1,     6144, 60830425ca1dcf3df4ee9c6cd75f066a
8
+0,          7,          7,        1,     6144, 3e178df858f7fcaa2552a1c5c719b5cc
9
+0,          8,          8,        1,     6144, 66718eb0c3981beb7c1119df8a2cd27e
10
+0,          9,          9,        1,     6144, 7c1912448c7756f7451888050760d73d
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     6336, 88587de65acfc85ff56daac8ef5d12e6
2
+0,          1,          1,        1,     6336, be41f6c788b929b5b6b27c5674f40abd
3
+0,          2,          2,        1,     6336, 04ab3f88ca062a6911405fd84c7e9de4
4
+0,          3,          3,        1,     6336, 231436e0a68d19d3882f285d38aca3fb
5
+0,          4,          4,        1,     6336, 1a067e147a6740bb4ce57c4184437eea
6
+0,          5,          5,        1,     6336, be0c47e06c7e9439570473adf4713f5f
7
+0,          6,          6,        1,     6336, a213b0611247eafab0711748c25e88a0
8
+0,          7,          7,        1,     6336, b1df495aa3afb74399f91c74b527b93c
9
+0,          8,          8,        1,     6336, 46319f21069541e1ee1652621b957860
10
+0,          9,          9,        1,     6336, 313517a5721b2b14683e7eefc83e51b1
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      792, 3b16847e60786706fc339abc452746ff
2
+0,          1,          1,        1,      792, 365a5951cb127d6df183fe5d5000f493
3
+0,          2,          2,        1,      792, 6d4bceb815ca7717c4a3f86a6670703a
4
+0,          3,          3,        1,      792, 5a0a03d4788934285448c85788ae8d71
5
+0,          4,          4,        1,      792, 8712f9a82d07447e7a0d0a37ddc3858d
6
+0,          5,          5,        1,      792, cff32e6c183c16962207a86d7c6cf0a0
7
+0,          6,          6,        1,      792, dc933d90f87110651d7efb39854d3d46
8
+0,          7,          7,        1,      792, d1299562a022521f0c3cb30668f83b6d
9
+0,          8,          8,        1,      792, 5054254ca125d7c7e6df4001397170cd
10
+0,          9,          9,        1,      792, a6bd7c7c0b02afa8d25f911ec847c61a
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,      990, 7cbd8c6b2fb35c0c3063cb7a379944c9
2
+0,          1,          1,        1,      990, 14062e74b98bed1ca982f408bc14326c
3
+0,          2,          2,        1,      990, f6d6868d849aa74b27df1c5f40c7096e
4
+0,          3,          3,        1,      990, 719c8d7e3769466ee8e3dca3f4747a0e
5
+0,          4,          4,        1,      990, a72e1a7a4c82ec09ea77f87b0e6f25aa
6
+0,          5,          5,        1,      990, a5163d142b429afa155cc2f1401a0b8a
7
+0,          6,          6,        1,      990, 27762d813dd1f80d6aaed5f197124fa5
8
+0,          7,          7,        1,      990, 02e94454660f3528abbde8f50e94288f
9
+0,          8,          8,        1,      990, 1d57dcfa57a55d96f14cfe471aac2e0b
10
+0,          9,          9,        1,      990, 7804477923c0cd067bd09ebca3529775
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1584, fa2f292d273c37dc2804a70d1cae1e9d
2
+0,          1,          1,        1,     1584, ba75d90652c021bc7ca061352e6e94ce
3
+0,          2,          2,        1,     1584, e65d9a205bd17d100e50c7b6a7ea772d
4
+0,          3,          3,        1,     1584, 46f9e9ff891576b9462f21d48b7b9e2b
5
+0,          4,          4,        1,     1584, d23cedacf3a37cf6b2774e0b18b6b9d7
6
+0,          5,          5,        1,     1584, 84329f7716a6db5a7e64a68a1155bfc6
7
+0,          6,          6,        1,     1584, ad62286b0e13f4e54df4445cdd4fd4e3
8
+0,          7,          7,        1,     1584, 4511529eb24b21eb63e280070f888642
9
+0,          8,          8,        1,     1584, 4e1c122df1785e0e9134c43c85082e05
10
+0,          9,          9,        1,     1584, ac3a3747a00be3f9f58155648fcf9b24
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     1782, fda5ad9bf70a51b3a41bdcabf2cce32a
2
+0,          1,          1,        1,     1782, 91916fb20ad542a7a3ad276e6505f9b0
3
+0,          2,          2,        1,     1782, e18e5d11aec483c76afd68f7e64415a4
4
+0,          3,          3,        1,     1782, c13da01c2b6c09101bda7af93ad5fd07
5
+0,          4,          4,        1,     1782, ed8d2568b2ad9c7bd980cba0d3b95cff
6
+0,          5,          5,        1,     1782, e6f3cf312b69d37579e77f2e52cc936b
7
+0,          6,          6,        1,     1782, e509f3682e9c4bcdb0889e044b1979b7
8
+0,          7,          7,        1,     1782, acc3945e557cd7a9642f08a656444976
9
+0,          8,          8,        1,     1782, 44ddd03aa8f03ba393f12fc6a1b3fc17
10
+0,          9,          9,        1,     1782, fdd3e68132c742d9f0cf0ea6fff2a074
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     3168, 013cd22aea6bfeccc8ec809abd52be5c
2
+0,          1,          1,        1,     3168, 0980adfb0ef879b3c960797272f025ad
3
+0,          2,          2,        1,     3168, d1411ffa0429befb8c71d3ab45acee92
4
+0,          3,          3,        1,     3168, 6c6f825379eaf21709a45be77def7a63
5
+0,          4,          4,        1,     3168, bab632ef00a080739a41c692f2b21c3a
6
+0,          5,          5,        1,     3168, fc0f6045aca252f2e904730227b8f337
7
+0,          6,          6,        1,     3168, c8dbea209329463bfd9238a11b8d5b17
8
+0,          7,          7,        1,     3168, 457247bf4186ed8459e0a1564f0e68f2
9
+0,          8,          8,        1,     3168, baa55e20bd7c73960b080d8a0c8db4d5
10
+0,          9,          9,        1,     3168, dc8933e8edc98cd0cfca44ae22997c62
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     3366, 6821eb3fcd1d10db32eff70468dcf9c1
2
+0,          1,          1,        1,     3366, ed0094d347d9f250d46b4903cbc14801
3
+0,          2,          2,        1,     3366, fd018555dc9a62b8074d46e7c0fd0b40
4
+0,          3,          3,        1,     3366, 05d5baf9f2e62bbeeb3809a099e84147
5
+0,          4,          4,        1,     3366, 7a150c265214269c08e05fe4f296122d
6
+0,          5,          5,        1,     3366, 9a7ae61d4bb125ee4c4ccce9cc1c3664
7
+0,          6,          6,        1,     3366, 5a88fd6d96dcbc4255e98dfe19ff96b8
8
+0,          7,          7,        1,     3366, 4192c273a46b2b196c871ead0e61ec71
9
+0,          8,          8,        1,     3366, e79ebfc47e755f5db221f392c3216278
10
+0,          9,          9,        1,     3366, b995c5f483a2e553baf4f66d1a47fc57
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     6336, 929086fbb3e117bd53110b64c1ee915b
2
+0,          1,          1,        1,     6336, 9ed45f5e40dd2393434e14a0c0160c63
3
+0,          2,          2,        1,     6336, 5cdade692b1baf23e61896da18e3e44f
4
+0,          3,          3,        1,     6336, 11a2ebac61a3f826ec41c8031899e55c
5
+0,          4,          4,        1,     6336, 621a1e0142b94d14db9c2121553a11fb
6
+0,          5,          5,        1,     6336, 029a29590f7255f1bc9ff9b7a000ca25
7
+0,          6,          6,        1,     6336, 5fde42becf6bf054d04e2a0fa1b2d55e
8
+0,          7,          7,        1,     6336, 5b8ba552cef1931e1412fb4f3420748b
9
+0,          8,          8,        1,     6336, d41cd7d418f6ec1db802a01a90cfee1e
10
+0,          9,          9,        1,     6336, cea99c93a84a82edff8c6069d131453f
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,     6534, 69f9028d52f95d2e7f986c57b19fc018
2
+0,          1,          1,        1,     6534, 068e611f62b3f6222f6b1699748c8fbf
3
+0,          2,          2,        1,     6534, 3d3fec78ff2274241a7958f17a773a19
4
+0,          3,          3,        1,     6534, 93d71ef1a2d00c7e70e76ccc1859143d
5
+0,          4,          4,        1,     6534, 5a35a640d52bc0930825b963b0b9e830
6
+0,          5,          5,        1,     6534, 782223239e6b1ca1bedbd25d9652a07c
7
+0,          6,          6,        1,     6534, a4b5e8a319cbc9a12d3e36127c7f0fbb
8
+0,          7,          7,        1,     6534, a3e2d9a78fa42b3c817aadfd31fd2d16
9
+0,          8,          8,        1,     6534, e9fc6b83535735f46006f3e4b376755f
10
+0,          9,          9,        1,     6534, 80223f600dfe86021bd0e83fecdc4b2b
0 11
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1/30
1
+0,          0,          0,        1,   126720, 2f90d606edc511c8c960530dd915cb98
2
+0,          1,          1,        1,   126720, 7fd451a057d6341b2b0d116f59e41a13
0 3
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    57624, 14cc1c34b8106e35238d4650a9123852
2
+0,          1,          1,        1,    57624, 66e0bb9136ea24e30b781a4610b428a1
3
+0,          2,          2,        1,    57624, 8e36679c20a3a3e974fdacf7a9343817
4
+0,          3,          3,        1,    57624, 2669fd03ce7ce01f4fc9db23e06fffdb
5
+0,          4,          4,        1,    57624, 46ced29eb6edf2136c8ee19e9a87380f
6
+0,          5,          5,        1,    57624, 4e4138b65a30bc56cd18663a1799f98f
7
+0,          6,          6,        1,    57624, 580b0431b5f808c67e50ed34e62f39ad
8
+0,          7,          7,        1,    57624, 1339bbe256d8499ab17d6a550f7dac70
9
+0,          8,          8,        1,    57624, 89b9dac29a4c4136249c40a3763dc114
10
+0,          9,          9,        1,    57624, a735d341d7df9dcd0b6e51a82b813f61
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    58212, d2bd2dfaf2ac22b3f2499844f228d89a
2
+0,          1,          1,        1,    58212, e066448baeb39da04b22d4d2ebd27b0a
3
+0,          2,          2,        1,    58212, aace53c0ecca2596c51dd5e70da7abc4
4
+0,          3,          3,        1,    58212, 077256d024ab101918d10ae61142f203
5
+0,          4,          4,        1,    58212, e2bfdad36b0365d41dc6813a371111ee
6
+0,          5,          5,        1,    58212, 17495af68b0a2c075899849382f3b046
7
+0,          6,          6,        1,    58212, 7853db163344798e5c37672adaac92d8
8
+0,          7,          7,        1,    58212, 7b2ee2e1ca709c58457c7d818e47c95c
9
+0,          8,          8,        1,    58212, f7eb3ce10561628f932861358a30b414
10
+0,          9,          9,        1,    58212, 3182374f5aa539fd0faa44ed4a7492e5
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    58800, b2f2ac3e3833ae1b4dd075fe00210373
2
+0,          1,          1,        1,    58800, c0cce05e56a07111fe62553fa3a87074
3
+0,          2,          2,        1,    58800, 626aab3de03242073e03504e166b4697
4
+0,          3,          3,        1,    58800, 574d2c810f0bbfac57f1f06c2b97445c
5
+0,          4,          4,        1,    58800, 7d5bc5860bd1422d08396fe080452099
6
+0,          5,          5,        1,    58800, 5d47bbfb0f5cdecfe8415ca2caddc206
7
+0,          6,          6,        1,    58800, fbef6a0fa51029d0475975945ccf4b36
8
+0,          7,          7,        1,    58800, c9179c153bcb2a8e9d17ed04e5e2c39c
9
+0,          8,          8,        1,    58800, 107d796592cf2140d4d492beadba2d68
10
+0,          9,          9,        1,    58800, eee46f9ee67fc1121bffb63aeb7c768f
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    59388, 7109d2ef160828ece26337f36fcfc092
2
+0,          1,          1,        1,    59388, bdaa6612f81a956d9b20d55a04df8346
3
+0,          2,          2,        1,    59388, 15eb75495d2713a64415b990b058d5ca
4
+0,          3,          3,        1,    59388, b997c84553475ba84e8ba3d7ee19ae4e
5
+0,          4,          4,        1,    59388, 63a8badd691bcf643cf676d029ce8a6c
6
+0,          5,          5,        1,    59388, b8ca23d9b3418c4c36040a215b2b7917
7
+0,          6,          6,        1,    59388, 1be0da18386c35e4a5e5d5d32d9a4468
8
+0,          7,          7,        1,    59388, e75a03fa70fe7e6b3a8d8ce7dc8305f1
9
+0,          8,          8,        1,    59388, cbd2b60df9209025c8e890771a05321d
10
+0,          9,          9,        1,    59388, c655d6fcc3333917b66358a9ac2b1357
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    61152, efa2a2a76a0fe709a78e491346cfcf29
2
+0,          1,          1,        1,    61152, 97de85e21b408878853fa870104707d7
3
+0,          2,          2,        1,    61152, 419bd1157e156d3059190d6b561c57dd
4
+0,          3,          3,        1,    61152, fbb6e01c524fc7c8007c6cfe2c64f467
5
+0,          4,          4,        1,    61152, 7453994c2e9901fa23f295ec0b556f9c
6
+0,          5,          5,        1,    61152, ba39dc984789fa2c4b833cd88013cc97
7
+0,          6,          6,        1,    61152, cea5061cac1be18d5f9a9301a5460491
8
+0,          7,          7,        1,    61152, 1c583018c425b1a91949e0c3eb0a4152
9
+0,          8,          8,        1,    61152, b48be02280ac6f97731af69bcf18de25
10
+0,          9,          9,        1,    61152, 6f8ab465214d8374c9ff77b939da333e
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    61740, fccc18714a9ed3840bd6e9c6ca4858e5
2
+0,          1,          1,        1,    61740, a8f6eb43cf6ed670eb180c5051de06f7
3
+0,          2,          2,        1,    61740, 6a9baf9eae6e799deaefd6e801f7ace3
4
+0,          3,          3,        1,    61740, 3bb44c8a45aab088c9887c11bc6a4acf
5
+0,          4,          4,        1,    61740, 0907a7e926be9e54bbb087251b4715d9
6
+0,          5,          5,        1,    61740, 10fef2876c20eb3f9570c0c23e5acc69
7
+0,          6,          6,        1,    61740, ffe5d2b6d874af0f878075c97940ccfb
8
+0,          7,          7,        1,    61740, d10fae10144ff88075048827203f7e9c
9
+0,          8,          8,        1,    61740, bdf35736ac625f2178902c1f24d513c0
10
+0,          9,          9,        1,    61740, 30882bf2c21785be6234b637c4b16b28
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    65856, 13263674ea5aa619250dfd139bda872f
2
+0,          1,          1,        1,    65856, 39f5cbd8917f2b3a1df8cf2b786266de
3
+0,          2,          2,        1,    65856, f9aade31f9e3065f3d5b8645ef099ac6
4
+0,          3,          3,        1,    65856, 124f9664380f092e692b5e881f5a8fcc
5
+0,          4,          4,        1,    65856, e8e040e417830f5e911537828ace21b7
6
+0,          5,          5,        1,    65856, 84ce09882b9c184a787e8022e6d8c8de
7
+0,          6,          6,        1,    65856, b1397fd91814e4fdc4f75c89161ced26
8
+0,          7,          7,        1,    65856, d64f39d64d248f0223ed359e092d46cb
9
+0,          8,          8,        1,    65856, e04ee663dcc52eebd74255671c6f4ec9
10
+0,          9,          9,        1,    65856, 955303cb73bf072c693f37d9778ca2b6
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    66444, 5cb240f10761f59687612ed589759800
2
+0,          1,          1,        1,    66444, 9d8d5b57336ddfa5c9c5100a0302197d
3
+0,          2,          2,        1,    66444, 9db74997d23b16f527c63e88795331dc
4
+0,          3,          3,        1,    66444, 52758cd901533e790334d464bee516da
5
+0,          4,          4,        1,    66444, 40e671b9b85d07b13acba85eb64bbbaa
6
+0,          5,          5,        1,    66444, 8524b2cd2c9bb3e41c6167f8269e75d2
7
+0,          6,          6,        1,    66444, ff194ad6fa180fde86cc05a99c0580ec
8
+0,          7,          7,        1,    66444, 22ab303cb37745a73c227cd7d1c70003
9
+0,          8,          8,        1,    66444, 01986c58e82e0b5194418f5b75a8599c
10
+0,          9,          9,        1,    66444, eedfc9c14cbf3fa10402dbed52103848
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    58212, c980866a6f17d4107ce128ee112d74cf
2
+0,          1,          1,        1,    58212, d4d5d2a10e73f1d09919355dc4d63d48
3
+0,          2,          2,        1,    58212, 82c76ed020acb68ff9d8bd81899aa6f8
4
+0,          3,          3,        1,    58212, 8330705fa354fb5838af56dcf9cc0980
5
+0,          4,          4,        1,    58212, e47b63d839a592e6372d18249bf5bc0c
6
+0,          5,          5,        1,    58212, b6095b6f752a50e96cab52e7c3fd52f3
7
+0,          6,          6,        1,    58212, fc4786f48b6ee31043d94f79c5c8a54f
8
+0,          7,          7,        1,    58212, 7d3d06c96496bd5ab44fe5489877771d
9
+0,          8,          8,        1,    58212, 5b96de089a9faa2dc01697fe9dd97f7f
10
+0,          9,          9,        1,    58212, d7361203b4c264067dcb7bf6912e8df2
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    58806, ee0760611da9938e72f551d219671c76
2
+0,          1,          1,        1,    58806, c512cb8a864c25318254438c7170f373
3
+0,          2,          2,        1,    58806, aaea10aeb7dfd1f9f6dc77adccfcd56f
4
+0,          3,          3,        1,    58806, fb4e68ce202d9c6ecbddc6fe50b1cd7b
5
+0,          4,          4,        1,    58806, 57a803d02f0d71ec4c3c17a112574525
6
+0,          5,          5,        1,    58806, 526d0beaf7ef721c3a6ae8bf3505fd78
7
+0,          6,          6,        1,    58806, 972ab31f81dbb79c2273bcfc98569e8b
8
+0,          7,          7,        1,    58806, e1f05d62691bd1a9494d57449417415c
9
+0,          8,          8,        1,    58806, bc39a559b25e5a1ac698e0101bd6bf29
10
+0,          9,          9,        1,    58806, 04caed04ac21c76af873e21899860fb2
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    59400, fb0e8171b0f91d9b2ceb5430db27a67b
2
+0,          1,          1,        1,    59400, 73f121e6aa0e6290cfd06ac9b033c772
3
+0,          2,          2,        1,    59400, 4113897efc44f49f5169a579bee03596
4
+0,          3,          3,        1,    59400, aec1d4cf1a15e12b689980cfe136d5d6
5
+0,          4,          4,        1,    59400, 1322af65f647254330120e67ddae38bd
6
+0,          5,          5,        1,    59400, 5d28c1684451812c9db41433e6286d85
7
+0,          6,          6,        1,    59400, 33843fc49d1d8655520c2f42332222ca
8
+0,          7,          7,        1,    59400, 92a8125d8c75eaf6159d5f431c5c71bf
9
+0,          8,          8,        1,    59400, 5bc96553842f65a3e37f012b72b580f5
10
+0,          9,          9,        1,    59400, de5eb6299ee5034dc3b01cdc94bf810a
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    59994, f5e1cf4cc56742fadddf42189a3f65e3
2
+0,          1,          1,        1,    59994, f3e8ca2c8deb29a6b5bfe415b39c901e
3
+0,          2,          2,        1,    59994, 89c513049e41e145bca46a7f7119567c
4
+0,          3,          3,        1,    59994, 419089035739e84f1aa14ccdf34edcb1
5
+0,          4,          4,        1,    59994, 4962c98c23b16b9257869a8ad5138731
6
+0,          5,          5,        1,    59994, fde9e858ec895c36c2d8071e69f68db6
7
+0,          6,          6,        1,    59994, 42e1271915f31a00be3627fa866ce3ee
8
+0,          7,          7,        1,    59994, c15f794933f913861a6d0041ff2fccdb
9
+0,          8,          8,        1,    59994, 35dab245ba952dc6fddc1a9668c30b28
10
+0,          9,          9,        1,    59994, 30bb4ef77cdde9cf5aea0f1287183b23
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    61776, d45b561f81cbfcca8a1dddbc2bf8ca31
2
+0,          1,          1,        1,    61776, 3664f63b2e59e380622caadb7a05545e
3
+0,          2,          2,        1,    61776, 0662fa199512320704efecc10af1aaa4
4
+0,          3,          3,        1,    61776, d8dc00882e73be89d0585663892cbcff
5
+0,          4,          4,        1,    61776, ff64b8d50b7c5b484a06dab09a26147c
6
+0,          5,          5,        1,    61776, 1771b6a55112eb7ea10885d1390339cc
7
+0,          6,          6,        1,    61776, 0d5944e8a13e3c2faffb562bbe2671a8
8
+0,          7,          7,        1,    61776, 744bed3a88407b75a8ff27a1b0cec64e
9
+0,          8,          8,        1,    61776, 3887415f2ab10d2a265c4a413e7060b9
10
+0,          9,          9,        1,    61776, 7dd683019b19b464bc0436f41e0b7c87
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    62370, 8525a27170982c059d5904c1af3b43fb
2
+0,          1,          1,        1,    62370, c4eb329733913360384d3917a58f6f36
3
+0,          2,          2,        1,    62370, ec118b87c9cba0e4bd89fd43567cca4e
4
+0,          3,          3,        1,    62370, 7e57c6caba7924823977e2c9bc11f7fa
5
+0,          4,          4,        1,    62370, f77ffb7228a5eda848acc40ff636ecad
6
+0,          5,          5,        1,    62370, c5dddafbe3badcbbcaaebe97076e0394
7
+0,          6,          6,        1,    62370, 34d69ae2e5b4c4fbcc51627237c9abc5
8
+0,          7,          7,        1,    62370, d9c63fa8b18d6c54e5fa31db866c06cc
9
+0,          8,          8,        1,    62370, 7ab392764a399328bf35977539e3148a
10
+0,          9,          9,        1,    62370, 7fbb7bae3ec775298aaa49a286dfb9d1
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    66528, 5f69230bfd8bb485bd85552b18339fc0
2
+0,          1,          1,        1,    66528, f5c365774fc1d0bffd5025ce2e931aaf
3
+0,          2,          2,        1,    66528, 2898234103c3624e6470ae82c916e000
4
+0,          3,          3,        1,    66528, d82a7fa705180b68a8ee8cb7de0cdd2d
5
+0,          4,          4,        1,    66528, 144a162d418deae62883a2cc4c341b4c
6
+0,          5,          5,        1,    66528, b3419a48385e42ca15717289ff2daa1c
7
+0,          6,          6,        1,    66528, d6306b5737f88f989bf2e6a1084a94fe
8
+0,          7,          7,        1,    66528, 5669761d7417b52b3cf81d44a13e3fb7
9
+0,          8,          8,        1,    66528, 3f730b8658d7a6657d1af38c75357512
10
+0,          9,          9,        1,    66528, 27df68d515148f732325bf821037d59f
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    67122, 412c33a8fd71c99e68e6701b050b107c
2
+0,          1,          1,        1,    67122, 8e69483ff8a094096dd550b30be20dde
3
+0,          2,          2,        1,    67122, b8df87ab3d2613be31a3743e34d7e794
4
+0,          3,          3,        1,    67122, ec4b08a4014950f1fe04e83f8a790af0
5
+0,          4,          4,        1,    67122, 030da2b60627d879730108826ce6632c
6
+0,          5,          5,        1,    67122, 03aab0c9b4d75bc0b47fa5237e9efe3d
7
+0,          6,          6,        1,    67122, fd01e369df258f340eb8e486c07ae136
8
+0,          7,          7,        1,    67122, 1c301f0e60c96008fd7b6e8de1ebaa29
9
+0,          8,          8,        1,    67122, 912723f43b2b36366c3e6ab122d31801
10
+0,          9,          9,        1,    67122, b2774a66f7aa0fb7dd7e64b0d67818cd
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    58800, 651a0627c6cdaee8b46e1f8c4121a368
2
+0,          1,          1,        1,    58800, 3e63075148df16f69c933cf6c63e078c
3
+0,          2,          2,        1,    58800, edf18e52b7d52af2bb7594ed358542d8
4
+0,          3,          3,        1,    58800, 30284124756d00d10f4f8428206ceab8
5
+0,          4,          4,        1,    58800, 6f6ecde53cd0ea5298f4529d396460c6
6
+0,          5,          5,        1,    58800, 0431d389278957fbef3e72f69f3ce008
7
+0,          6,          6,        1,    58800, a047c60c4c60d2ea1f79c86dc98cdf8e
8
+0,          7,          7,        1,    58800, dceda8bf128a8cdcadfa6c5db49cde51
9
+0,          8,          8,        1,    58800, d8a6283637f5abda17e0bf150eac2983
10
+0,          9,          9,        1,    58800, 33dca31ef26fdd0daf9971c8de685d01
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    59400, d4b3578d800c747bcabaa484a140ffb0
2
+0,          1,          1,        1,    59400, a40f6f8c384c5dc3d5546d960bb6d9e5
3
+0,          2,          2,        1,    59400, e270ae8754d9906dd88b1c7d05280801
4
+0,          3,          3,        1,    59400, bde7fde5012840c5e188f3b29f4f0003
5
+0,          4,          4,        1,    59400, 8f8510c1130615b64fb8469af66ff678
6
+0,          5,          5,        1,    59400, 79b9d4e0c64f82a6e9540394222a593d
7
+0,          6,          6,        1,    59400, 34852ac9ca5c6bfa51736296784343c7
8
+0,          7,          7,        1,    59400, b055218509dbed644113642f8f0ac8a8
9
+0,          8,          8,        1,    59400, 1628866b436f1c4b892474025226e545
10
+0,          9,          9,        1,    59400, 3fdec760c04e30c90e74afb38dbf757c
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    60000, b339f4e563afadb25f43b8c05b12dc03
2
+0,          1,          1,        1,    60000, 3bd5280e7fb42400085b0b1dbba1905e
3
+0,          2,          2,        1,    60000, acf1c84cabff763fe2073d2c1f183bfc
4
+0,          3,          3,        1,    60000, eaa4983b6baf907efb11d137644569d2
5
+0,          4,          4,        1,    60000, 8a1871c8dc38a19dfd4ac571ad7f39be
6
+0,          5,          5,        1,    60000, 0be539bd51f5f364828dd0abc70360be
7
+0,          6,          6,        1,    60000, df60622d2c9f294f61d738be9e3bd16c
8
+0,          7,          7,        1,    60000, 22b3f1d51ddf92c7d2add305ba0ef405
9
+0,          8,          8,        1,    60000, 01ba29be721e64a5a50526de0797c7d3
10
+0,          9,          9,        1,    60000, 7b7aa7fa0e58202b3104671375762587
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    60600, c4a13df44e66f06961dd72fc990439e9
2
+0,          1,          1,        1,    60600, 81c73b8d3806ad96af8f422914a253f8
3
+0,          2,          2,        1,    60600, 05f77526125e802be9cb306e375ded6e
4
+0,          3,          3,        1,    60600, ab2e224840ff89abec2c675a23a73094
5
+0,          4,          4,        1,    60600, c30f58f88819eb57102678b169e15188
6
+0,          5,          5,        1,    60600, 33e5e2799eb4a9c548c8372fd6769db9
7
+0,          6,          6,        1,    60600, fa53c1c7e60bd1d00335af542ec69ed7
8
+0,          7,          7,        1,    60600, 534cafe658af10a314d6d084e55b3620
9
+0,          8,          8,        1,    60600, 502529e4fbecc8b890abf665fa21f53c
10
+0,          9,          9,        1,    60600, bf1f73c6e77370bc51a770c8ae87bd12
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    62400, 702748bec18c500dd41d93ae74b11d56
2
+0,          1,          1,        1,    62400, 4fb542190dab2fd673724d47451ff6ee
3
+0,          2,          2,        1,    62400, dbb4d27d52797dab67e39d32092c9d44
4
+0,          3,          3,        1,    62400, e4a0ed1572207b7ba433896bba711148
5
+0,          4,          4,        1,    62400, 28ec32bc165f4f9d455efec8a7aa8737
6
+0,          5,          5,        1,    62400, a95910575a6423abffb28ca38c384b34
7
+0,          6,          6,        1,    62400, 791f1c558c5467725f4614a75a8a687e
8
+0,          7,          7,        1,    62400, cfd3e12f84f7a811966721e890228313
9
+0,          8,          8,        1,    62400, 824c5fdf938551c28ac1c996645ae52f
10
+0,          9,          9,        1,    62400, 7465917fdd0206e393968232a0ec5193
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    63000, 31ef44bd12ae702f306c55eba10d2ba7
2
+0,          1,          1,        1,    63000, 83e9d913f5aa058d79a81047ca45e4a2
3
+0,          2,          2,        1,    63000, b5e21313b859f1e2c67aaac5fefc9f68
4
+0,          3,          3,        1,    63000, 959d63c1b219c3479af673a9a8b8d82c
5
+0,          4,          4,        1,    63000, ffcfaf42b69c7cd92f6e3c21987ff7df
6
+0,          5,          5,        1,    63000, e9667d3ee4d8179da44de4fbffcb7df2
7
+0,          6,          6,        1,    63000, 5e2c841bcf4ec6f3a05020d36986fe5b
8
+0,          7,          7,        1,    63000, 19fe287c30bd4c90b00a9631409568c0
9
+0,          8,          8,        1,    63000, 58a8843e50b19860a0a91e1e1bb63bfd
10
+0,          9,          9,        1,    63000, 0ebd31e18597a567f96645acbb2500cf
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    67200, 315d69847bf752a84231a368278eb0b6
2
+0,          1,          1,        1,    67200, d245738f8627fc345ab38a547bc7d352
3
+0,          2,          2,        1,    67200, 982681cdca448919c2eead94435772ad
4
+0,          3,          3,        1,    67200, 7b67b2d96476e17cd407bbccb19fd070
5
+0,          4,          4,        1,    67200, c38dde73ca097049d1fc689e18a49b5d
6
+0,          5,          5,        1,    67200, 525f323b81d780c669a03655bb0d0b56
7
+0,          6,          6,        1,    67200, 5dbeb96f65e383771c1c877ec559044a
8
+0,          7,          7,        1,    67200, 7d96e976265ef0f9faf173376caaa9e9
9
+0,          8,          8,        1,    67200, 6047c805a724701b80a133486aae0e65
10
+0,          9,          9,        1,    67200, eb8895dd994076a52aa3a0c1758ccbb7
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    67800, e45b6b9dce4a8509b7d26bc3cfdf7c86
2
+0,          1,          1,        1,    67800, ddb9d5033ecfa2d6e9a5505dce374bda
3
+0,          2,          2,        1,    67800, 52c495d3137143e0bce9382fe5506057
4
+0,          3,          3,        1,    67800, d09f3d6ad084f2966196acd48246f951
5
+0,          4,          4,        1,    67800, 1556d006d0119a3172b98a500b27f8d0
6
+0,          5,          5,        1,    67800, 904f86cfbcc3fa683d3d7744a286cd88
7
+0,          6,          6,        1,    67800, b35907456b8ccab0ae8efc8405b04c89
8
+0,          7,          7,        1,    67800, b7f2648fe0f873f7e9ea4a6d913e45ec
9
+0,          8,          8,        1,    67800, 2da76544bc7e295486c335e17047e12e
10
+0,          9,          9,        1,    67800, 10fd6424caf837d37564ef15f1c6f93d
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    59388, 1261466179df96099e598e46c50fa7c1
2
+0,          1,          1,        1,    59388, cc0fe373cd0399cf0c95edf92d9ab01f
3
+0,          2,          2,        1,    59388, 7a2dc0afd06ecfcf54321fb759f57601
4
+0,          3,          3,        1,    59388, db9c138503d27f87449f870ab07cab03
5
+0,          4,          4,        1,    59388, ddea2e5e2659e97132a537566d5ed989
6
+0,          5,          5,        1,    59388, c31e90b5eee032526c4e0603332fd160
7
+0,          6,          6,        1,    59388, 7e5b40f03b905d9ee749d3097a484ea0
8
+0,          7,          7,        1,    59388, 93e9f7defa94ff03c041448ae1e55cea
9
+0,          8,          8,        1,    59388, aef8e03f0146699faa16ec28dea49dbe
10
+0,          9,          9,        1,    59388, a651d949b4c8f0e455c6592dc98385f7
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    59994, 181edc4ebeeff7f0527b93b84d5d8efb
2
+0,          1,          1,        1,    59994, 132c71b634fb67eed51fcdef1775b6b2
3
+0,          2,          2,        1,    59994, fd41144770765fc893adc5843ebe32e4
4
+0,          3,          3,        1,    59994, 77dcbaea101142940b6a78a271842829
5
+0,          4,          4,        1,    59994, 01737c38c1ac711a9744256788211177
6
+0,          5,          5,        1,    59994, 31cd0b5f621daac309c6f249f4c26cd8
7
+0,          6,          6,        1,    59994, e06d34e570dc46904fdb9eeb55811464
8
+0,          7,          7,        1,    59994, 71bf55030373bde1eaeb52d1e97bfa4a
9
+0,          8,          8,        1,    59994, e96063ff02e8a23a666222b59391de9c
10
+0,          9,          9,        1,    59994, 5aa0079168ab5069e8a3064f9e2a6d8b
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    60600, 20c41d4a1271183dbbc7a44e6b90ea80
2
+0,          1,          1,        1,    60600, bd8c1fba8d8742f4d98b7d5097c8c828
3
+0,          2,          2,        1,    60600, 55cbe06a925009c1b1f9b609b60b4c1d
4
+0,          3,          3,        1,    60600, 78e80c7cf1f142e2dda1bc269b5b3e00
5
+0,          4,          4,        1,    60600, 42ee8157a4c8af6670b81e9324b251e9
6
+0,          5,          5,        1,    60600, 022bdf5a2e1ea5f98503cd25b383ae53
7
+0,          6,          6,        1,    60600, c2073865386a991da01966878ce1ce6d
8
+0,          7,          7,        1,    60600, 6a5b95cd4eff0836b9180a25f663d36a
9
+0,          8,          8,        1,    60600, 5e5498c357340d4755dc98eb0669f103
10
+0,          9,          9,        1,    60600, 0907d5e4020111b1ecfe707df71bcd8a
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    61206, 610cef52d35e9c641f2b8c10489c3d12
2
+0,          1,          1,        1,    61206, 1f84062e607d4798b0544739fe0da99c
3
+0,          2,          2,        1,    61206, ea379947b5c52ea3989dfc3f47c729d9
4
+0,          3,          3,        1,    61206, 1d06b72f06178cbb6bb5d188d22bff43
5
+0,          4,          4,        1,    61206, 25bd41bd7607f88a01aa0cdc336c9975
6
+0,          5,          5,        1,    61206, 86836a95a7a9fb1eefb20f7c5a15a9ab
7
+0,          6,          6,        1,    61206, d8eb3fecce1b646b9877cd4fcca9f9bf
8
+0,          7,          7,        1,    61206, a057e0b29e4ac9717452cc478c418c12
9
+0,          8,          8,        1,    61206, 9a3bab91b4f0fff174536b1609c9632c
10
+0,          9,          9,        1,    61206, d1cd93975f746b6cae490aae31f89e7e
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    63024, d2128e290be81bb0700ebe19e3faed4f
2
+0,          1,          1,        1,    63024, dccaecb7e4ddb7e4224221a659af2a43
3
+0,          2,          2,        1,    63024, be8e0966aaf3a9fe9164f63695dc3b62
4
+0,          3,          3,        1,    63024, da944fadc3a239c2254678cadb4cf7fa
5
+0,          4,          4,        1,    63024, 3c270f3c02fcbd192b7f896f3f9ee6d9
6
+0,          5,          5,        1,    63024, 0b3ccda0a87c37e40104ae2f1060e8e9
7
+0,          6,          6,        1,    63024, 254253aba91758f302e7177e614596be
8
+0,          7,          7,        1,    63024, b1501a4e372a5249e74aab77e57a28f1
9
+0,          8,          8,        1,    63024, c4497fea1cefed5cf2b2908620153d26
10
+0,          9,          9,        1,    63024, 5ba20dfa2400b15b5394f315c5c3707d
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    63630, e4663a28cabbfdd3815efda2d38debcc
2
+0,          1,          1,        1,    63630, 3cc7dbec64e9f697f40d740a72c09fc7
3
+0,          2,          2,        1,    63630, f108981e0ce9c6c501b9ac61d0f1ba44
4
+0,          3,          3,        1,    63630, 63191c7aceb8ac6b030cc1a4b3cda18c
5
+0,          4,          4,        1,    63630, b0a527ae3aafe94d13573199c6f4944f
6
+0,          5,          5,        1,    63630, 1be14b213ebf1d653468b8c16bae03fb
7
+0,          6,          6,        1,    63630, 44e5a8333a043cd93b9d1cc78e5f188f
8
+0,          7,          7,        1,    63630, bfd7619f990f20e23b47d0738a6a8449
9
+0,          8,          8,        1,    63630, 800405f45ca5198014ef8d8521b044fa
10
+0,          9,          9,        1,    63630, dca4eda872349708f54486433efc8225
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    67872, 1d318f05310f6d40646f23c62c7eafe4
2
+0,          1,          1,        1,    67872, 42870bd73e1a0c5d84b986db3d24f0f0
3
+0,          2,          2,        1,    67872, afaac676150286143c6fec7992a81467
4
+0,          3,          3,        1,    67872, 128f84400c272628e802c2369b6bf548
5
+0,          4,          4,        1,    67872, 9adc24d69f12349d8b17c84f5c111767
6
+0,          5,          5,        1,    67872, b33d2f7a1955248652701f2ade8ab55d
7
+0,          6,          6,        1,    67872, b8acc23721097fce6c8835f5fcfaa6ee
8
+0,          7,          7,        1,    67872, b63bf9a08e4dc5879bbd91efaec95960
9
+0,          8,          8,        1,    67872, 96e8fe29935266f6bd486b99f917eabc
10
+0,          9,          9,        1,    67872, 54be14f8dde6857867cd4581f8557044
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    68478, 5aa0f439c58c6335cd86d4238a8c4b68
2
+0,          1,          1,        1,    68478, 3616cc306ec05f89d9b0db63200e4abf
3
+0,          2,          2,        1,    68478, 424e98f8ec0ebf2a326a917ee0159bbe
4
+0,          3,          3,        1,    68478, ed5710e412f056fa8c1a277d86dd45d7
5
+0,          4,          4,        1,    68478, 760b850feab485f0bda6cde9943102bc
6
+0,          5,          5,        1,    68478, f4bd90ca72aa707f9b68e6192ac230fd
7
+0,          6,          6,        1,    68478, 58e4aad0bc2a9f3fc279df10208bd6f6
8
+0,          7,          7,        1,    68478, b42f84723dd167d5c544d539275ad537
9
+0,          8,          8,        1,    68478, 5f54feca21331646e68797380260932a
10
+0,          9,          9,        1,    68478, 8e787dd318024aff25af8b4d85040f3c
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    61152, 6195975181969789e101a83a555d13f7
2
+0,          1,          1,        1,    61152, 2aca5e3307d68a5e969564a943b8e723
3
+0,          2,          2,        1,    61152, aee4b00472ee0b6b7a13e31069181db4
4
+0,          3,          3,        1,    61152, 7808595b650a7c14d8a4800db7c014e0
5
+0,          4,          4,        1,    61152, 746eb763b176286aa875ae06b81118c4
6
+0,          5,          5,        1,    61152, 0e8a78ec061319e27d49ca25e333e017
7
+0,          6,          6,        1,    61152, ac4432db2bb0971d5f70a7dda1210c19
8
+0,          7,          7,        1,    61152, 78870f4bd767f8ab65d369a5b322735d
9
+0,          8,          8,        1,    61152, eee9ddd91209348a64259db6a4a3f80c
10
+0,          9,          9,        1,    61152, c48d21e36a9c0d0d1c64db3f776b3002
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    61776, 1f1fa3cdf865d8c75183f4ba6203b675
2
+0,          1,          1,        1,    61776, ead33ead8fea5bd5d831a79f4c75a590
3
+0,          2,          2,        1,    61776, 9a406b4464989fd4bb7cbcb1b18aeaa7
4
+0,          3,          3,        1,    61776, fab3d228e7032f2cdc440dbfcb17c4c1
5
+0,          4,          4,        1,    61776, f2f3f8b8d9ece21c359c89245157c613
6
+0,          5,          5,        1,    61776, 321f5a8ecb2cec1780013fe72c237bde
7
+0,          6,          6,        1,    61776, 6f025b1f4ef61d261f05ca149a9470e6
8
+0,          7,          7,        1,    61776, 85abcc8d8e6b5f286ed6aa6c588cf416
9
+0,          8,          8,        1,    61776, b28d710dd44389f774aa02edd6327d5c
10
+0,          9,          9,        1,    61776, 79374bef9819eecafa7396d70c80be7f
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    62400, ff2dda3ddbe8b461d960baba0ad132bf
2
+0,          1,          1,        1,    62400, d6935ac8f2250316f498e8f01afa04fd
3
+0,          2,          2,        1,    62400, 57173ebaef7b21698c62fa959cb40ead
4
+0,          3,          3,        1,    62400, f354c76d7cf45e9f3adfdde0f6b3b5c9
5
+0,          4,          4,        1,    62400, fbc968ecd214b01509a76996e45dd09a
6
+0,          5,          5,        1,    62400, 9c314b51a80f2a081adf9b9cc26f5f8a
7
+0,          6,          6,        1,    62400, f22883a6a5b74ffa4bb16f22d496b5a5
8
+0,          7,          7,        1,    62400, eb4fa914fc5658d43e32c48a0c39bab3
9
+0,          8,          8,        1,    62400, d763c0c2f44b68e1e3fe9e165334eb0b
10
+0,          9,          9,        1,    62400, 344e1075a48cd61e79b0550809b4c91f
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    63024, e5164f87feadf4b65257f578affc3e04
2
+0,          1,          1,        1,    63024, 6aee5a3b6c3a096dfc1594762b2b248f
3
+0,          2,          2,        1,    63024, cb1c9dce6fdf7372e0eb2397251f0ade
4
+0,          3,          3,        1,    63024, 4fe5f24c08690c966b6a14ac3422510b
5
+0,          4,          4,        1,    63024, b22a273814523251b365f3278d8a3a9c
6
+0,          5,          5,        1,    63024, 190d9dff373023a25427fc859545ea24
7
+0,          6,          6,        1,    63024, a6307f38718ed686cb195e3833ab27ab
8
+0,          7,          7,        1,    63024, 79630bec5a91d69aca42a910413c2800
9
+0,          8,          8,        1,    63024, 2231cec9c03714b8671e5e1456b148c9
10
+0,          9,          9,        1,    63024, 278458f6734a24f2eb9bc877a6e9d7df
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    64896, 6bff7c1f4c5ef8412ebf669852c70de6
2
+0,          1,          1,        1,    64896, fdfd7a2308de9509a41fed2880a8f0f5
3
+0,          2,          2,        1,    64896, d8b464811e9c3b8a6db9cc277ac88c59
4
+0,          3,          3,        1,    64896, b8fa29e79be3126dd74310d6dd09c747
5
+0,          4,          4,        1,    64896, dad29803fed686887a0873eb78a469c6
6
+0,          5,          5,        1,    64896, 684de29bbf800f52aea4af9850bcc5b3
7
+0,          6,          6,        1,    64896, 06862dbce7571b4487766b179a596e1d
8
+0,          7,          7,        1,    64896, 99582a966bc7070112e214ce7912e485
9
+0,          8,          8,        1,    64896, a61158581a5719cb0cf13fb3301cb8c4
10
+0,          9,          9,        1,    64896, 9c2295332f34fee3a249262c8ba843bc
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    65520, b15c7e98ddd137237b062cb51667522f
2
+0,          1,          1,        1,    65520, 00c594c68b19ef39a79a38e86853dc64
3
+0,          2,          2,        1,    65520, e6742abe3d2c178af4298e121391c299
4
+0,          3,          3,        1,    65520, efe5387b38c32f1c25c0fc9836921074
5
+0,          4,          4,        1,    65520, e0e696f4c18af09a74e052903db1468c
6
+0,          5,          5,        1,    65520, f1960270c6704ca47caed63161716025
7
+0,          6,          6,        1,    65520, a1542d7749cfa447481acd7835db838a
8
+0,          7,          7,        1,    65520, a91fb10a17d1d056667860cc43c81dae
9
+0,          8,          8,        1,    65520, b673bfbb722522b4e7b5e9c5b85cc31f
10
+0,          9,          9,        1,    65520, 8b4bb57d3cf609cbf9564a96a6ca6ade
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    69888, 479d07bb96905ad7d5f0ec3ee12b41ba
2
+0,          1,          1,        1,    69888, 4b6555aaed8e5a45879773f1bf87962e
3
+0,          2,          2,        1,    69888, c5f42cb796dd7b6622957016ca6b502f
4
+0,          3,          3,        1,    69888, f06c954483560866fbff10bae7ba0785
5
+0,          4,          4,        1,    69888, af83aff39999852310395fe241ccb49b
6
+0,          5,          5,        1,    69888, 108377d6f30ceba6f2377330af2da38f
7
+0,          6,          6,        1,    69888, e81e6e0b37a7b92368ede9cab124567c
8
+0,          7,          7,        1,    69888, 59dbe51caaed8e6e825c78c5901fb22c
9
+0,          8,          8,        1,    69888, 24686123ea14c8d1a9b447733df0aaab
10
+0,          9,          9,        1,    69888, ce2035c49237c8076f8dac0d3f61848e
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    70512, 33aa4af6153570518c59960a0c959053
2
+0,          1,          1,        1,    70512, 024fa27dee80ad199528052aaa8d42c7
3
+0,          2,          2,        1,    70512, b949ef118c7e7e62a8b88e2308219ef9
4
+0,          3,          3,        1,    70512, 3061ee13696ced5e10a646fdd5ca6c34
5
+0,          4,          4,        1,    70512, c4984bd53dcb7b9e2570f2965d077b2f
6
+0,          5,          5,        1,    70512, d564c35c5caadcfd9f80377fa414af72
7
+0,          6,          6,        1,    70512, 9b7d7b10ee2f3eb7a9ffddcebff45b97
8
+0,          7,          7,        1,    70512, a0ede7085b04cbb3519d56b2e4347d14
9
+0,          8,          8,        1,    70512, 63d7af745f9e6a34b618db28fe878ffd
10
+0,          9,          9,        1,    70512, 85077809087e7bdfb9215bfcd1f1bbc0
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    61740, 5c69f80da667bfd20394995e93e4cd2b
2
+0,          1,          1,        1,    61740, 13363cd8e52ca8c1053db1c84c111bc9
3
+0,          2,          2,        1,    61740, 108976afdf99f59276d6f89879e3bdc3
4
+0,          3,          3,        1,    61740, 770ce25985e6b479d52a9185876cfe83
5
+0,          4,          4,        1,    61740, eba7cbb3c91989aa4c13487ed01675b5
6
+0,          5,          5,        1,    61740, f391c30a47c33a250dd20cb12f0a6e01
7
+0,          6,          6,        1,    61740, c38e12de302177d19dd744a3ea227e90
8
+0,          7,          7,        1,    61740, 8c9370439a0b7289919c6ee68e00570f
9
+0,          8,          8,        1,    61740, ac3748c4b99c4f1aba7430ae12c19cfd
10
+0,          9,          9,        1,    61740, e5228dc84f7933ccc9306907d737ad3c
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    62370, d83ee2413e701ae405a2b74863d4c5a9
2
+0,          1,          1,        1,    62370, f2ebc0f7dc171e0e5d2911c7ee2df5e1
3
+0,          2,          2,        1,    62370, e189ef4d8add227352a0d6ee62748ee7
4
+0,          3,          3,        1,    62370, 6dcb1dca1a0e2ba85034aba9f021427e
5
+0,          4,          4,        1,    62370, e98c633ba8912f6d65374055ec9af543
6
+0,          5,          5,        1,    62370, 82111cb7d5addce16d9bcba9e0a99503
7
+0,          6,          6,        1,    62370, bbbc73002f794ab0261fe384b2524226
8
+0,          7,          7,        1,    62370, 0bcdc427df47123959f7de9c44fe291e
9
+0,          8,          8,        1,    62370, 505776b3d82e38612393d60b6aa55c1d
10
+0,          9,          9,        1,    62370, feb93758242b847f3d53bb4c97b0ad9c
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    63000, 2465560246c1ee24d937cb9cbc1422f1
2
+0,          1,          1,        1,    63000, 8926b628dcdf2182516822c7d0d778ec
3
+0,          2,          2,        1,    63000, 9bd14d3ebc7fe81c4223116de1b9c2ec
4
+0,          3,          3,        1,    63000, 2d029d8461c20236066c0786950540fb
5
+0,          4,          4,        1,    63000, 39412b6e62de43bd40c58d4e2e38daf8
6
+0,          5,          5,        1,    63000, 3ea211c24f606b29582147bf872994dd
7
+0,          6,          6,        1,    63000, 261c37f88bf7f40549642578d9464aeb
8
+0,          7,          7,        1,    63000, 98551d44de1e23165e05975babb72446
9
+0,          8,          8,        1,    63000, 1d85ad052dd27e7e6bfea5d2babf5176
10
+0,          9,          9,        1,    63000, ad18b6a3698a3674c2488f927810eb0d
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    63630, 5d01848aee2b324f2e356627f9c39532
2
+0,          1,          1,        1,    63630, b671fe34bc0e5a682baff929d26ea627
3
+0,          2,          2,        1,    63630, e9a40f87ca5aaa5af9772e286feb9063
4
+0,          3,          3,        1,    63630, 4730f60d4c856e8ad877c0d8b1729ec4
5
+0,          4,          4,        1,    63630, 317fc01349e0984c23d15f97a3a0f442
6
+0,          5,          5,        1,    63630, aea89116ffe48340d1752d1ad5195529
7
+0,          6,          6,        1,    63630, 14694ba65b6308e5f5571486b62ca1cc
8
+0,          7,          7,        1,    63630, 53c6102d877c9a30eaa20ddc45207ea0
9
+0,          8,          8,        1,    63630, 7d1e898b1bead878224e8ff15d624bd9
10
+0,          9,          9,        1,    63630, 37b684bfae5dbd33e8dbb8332b94ce8a
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    65520, 1156d318c00d299cf5bdc7e485966dab
2
+0,          1,          1,        1,    65520, a8094f8f1e7e04e54251bee8c4c800ce
3
+0,          2,          2,        1,    65520, e2a07d99ffe1cfe6b9fce36e93677fe1
4
+0,          3,          3,        1,    65520, 63d179b00816dbad75b778d2c23955c6
5
+0,          4,          4,        1,    65520, 407de5fb2dfdd52e6173905b09ff22f2
6
+0,          5,          5,        1,    65520, 36900199c56310e651723de4e3ad2f2c
7
+0,          6,          6,        1,    65520, 908db56e975b5db07af17fdc51b12be8
8
+0,          7,          7,        1,    65520, 400e32490b1262009a481cc331a00e44
9
+0,          8,          8,        1,    65520, dc43b786cba033cc92b9921d12f7b3d7
10
+0,          9,          9,        1,    65520, e8c94c5965c729f5d1ef3ba4509c97c8
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    66150, b65725c68978bdaaafdf735dfbafa9e3
2
+0,          1,          1,        1,    66150, 35be2f16bd5dedc9d3f7a016f0d71701
3
+0,          2,          2,        1,    66150, 8c2873a97b51510d7449869e24a348f5
4
+0,          3,          3,        1,    66150, 724a30e8ae539e797db8889dc08aec5e
5
+0,          4,          4,        1,    66150, e3ae1246a63ea22afd026bfb859fe165
6
+0,          5,          5,        1,    66150, 7e1fa363cf3f44c7a3019f29c14a6da4
7
+0,          6,          6,        1,    66150, c6f26619ab5687a2a698c8766b79f2eb
8
+0,          7,          7,        1,    66150, be5b8c50a772afe95d72bf3cc7c4fd2f
9
+0,          8,          8,        1,    66150, 9eab1417ac249ce31c79750143d52084
10
+0,          9,          9,        1,    66150, 9d2455048dbc3cdc2343a818c5a2bcb1
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    70560, bb903b926c4b34ae336e21d65ad8fd25
2
+0,          1,          1,        1,    70560, c4c0bc3b112487e994d22176817ace3c
3
+0,          2,          2,        1,    70560, 24e699f7a92ab1b0fe12e0b747470b5b
4
+0,          3,          3,        1,    70560, 200f403694d3acfda63f52e8373f1420
5
+0,          4,          4,        1,    70560, 6df417a8ec1810562301c89724b739d1
6
+0,          5,          5,        1,    70560, 55757b633d8fe669fc0f507dab4fa9f7
7
+0,          6,          6,        1,    70560, 45bc82bee02cb45422be3ac1019896d0
8
+0,          7,          7,        1,    70560, 4aaf5d07d2796910767d5084556c9cf9
9
+0,          8,          8,        1,    70560, f100fa26da47250b98d95a18915f521d
10
+0,          9,          9,        1,    70560, f5a8def53b4638b6ce7c8588d595d0ad
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    71190, 03707b2f5c392933f7336f380423a0a1
2
+0,          1,          1,        1,    71190, b388553c79573555a3b660f5e36d4e36
3
+0,          2,          2,        1,    71190, a1a7fd8ba7fb0fe7733cdf5440c7c1f3
4
+0,          3,          3,        1,    71190, 9daff7ef71dd54951f0b75a902065259
5
+0,          4,          4,        1,    71190, 60218a4b8bc0a5b0b40fa560a40fb4c0
6
+0,          5,          5,        1,    71190, 21229bfed833468fafc27ce93db1450c
7
+0,          6,          6,        1,    71190, 7aa290c6e503315d7aa3517258d5f63a
8
+0,          7,          7,        1,    71190, 63fd08ae2e859ff3d874ab2c2ce41a42
9
+0,          8,          8,        1,    71190, 725b371247fae28ef4b912368738df64
10
+0,          9,          9,        1,    71190, 7cf2d8d9e464307311b499ff0c3ea05e
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    65856, 3ffc096f1b42b4d319d4a9efbefc7625
2
+0,          1,          1,        1,    65856, 78b3655d5cad30fa6b2c2d8fd29463de
3
+0,          2,          2,        1,    65856, ab197553d9599b2a03aff62d1d694848
4
+0,          3,          3,        1,    65856, be368d1f3d3fcc710565b5433940f0df
5
+0,          4,          4,        1,    65856, 374c5db60ea9c110b871bb45be0efff1
6
+0,          5,          5,        1,    65856, ec50085400d626de5833bc0a94d9941f
7
+0,          6,          6,        1,    65856, d4ae69937e2a8d9bf2023d4215749635
8
+0,          7,          7,        1,    65856, 9b0b81eb6d62b8014e0639932fe35bc0
9
+0,          8,          8,        1,    65856, cd02d0cc268e6b6df0b2dbd3f3b137e6
10
+0,          9,          9,        1,    65856, 5322ba1085c114f93534e1761a0d8aa1
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    66528, cf35dffc80946e87bb9d3e18aab9d320
2
+0,          1,          1,        1,    66528, a76ac92f05e9b097f8ac5882e1ffe656
3
+0,          2,          2,        1,    66528, faa1e8a11c9df3e9c9a9dafbebea6d04
4
+0,          3,          3,        1,    66528, 905a28289c8ac793b335096ca7f84e1d
5
+0,          4,          4,        1,    66528, cb480fa6977baf98a74bddf213ecba82
6
+0,          5,          5,        1,    66528, 35224d3708e3ba1dafcc58b803d5ea77
7
+0,          6,          6,        1,    66528, d166d764e87854bca47ab7a2bc8b1f9b
8
+0,          7,          7,        1,    66528, 562f1e06ae36abba5f1fb53e3d6cd7e8
9
+0,          8,          8,        1,    66528, 1599cebef060f6464aeef15aacbde446
10
+0,          9,          9,        1,    66528, 3316ebca2864a9dc04db86069efb1dd1
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    67200, 0819e6d715c9b4d94f05f63a7ca86199
2
+0,          1,          1,        1,    67200, 9b9a4b01ed4c8a93687e45245b3092a3
3
+0,          2,          2,        1,    67200, 3a076f5b8dba60552e84a391ee04d1c7
4
+0,          3,          3,        1,    67200, 7aafc561f5b96e9d286bd8deb5687774
5
+0,          4,          4,        1,    67200, daa43a89ab6b2761eedaa183e33a3465
6
+0,          5,          5,        1,    67200, c14874409872357b11b65f35a283e058
7
+0,          6,          6,        1,    67200, 37d2ef52a9c694b2596d58ed9ca0d90b
8
+0,          7,          7,        1,    67200, c97bc860c006896d80f52ccc0759f472
9
+0,          8,          8,        1,    67200, 5f8618114a723a017e39a1af695996f3
10
+0,          9,          9,        1,    67200, ee8234fc5ccd41d05eb87e1510f9795e
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    67872, e1e3b4af5910383ff6f66b6ab1a29544
2
+0,          1,          1,        1,    67872, 8668ef92b72f35728ebb456665d48b95
3
+0,          2,          2,        1,    67872, dffc7c28f86f07bf28451292990e9594
4
+0,          3,          3,        1,    67872, aebfb446fa6d48db36dbd9b5cd147f1e
5
+0,          4,          4,        1,    67872, e3c6cb8c5bb3a26928493bfc297ab827
6
+0,          5,          5,        1,    67872, 68dabae76c1d27ab0e1079d99cb6d413
7
+0,          6,          6,        1,    67872, d1f7745eef748688f3871d00a7e67ef8
8
+0,          7,          7,        1,    67872, 36738851cc2af83fd250dea4cd63941b
9
+0,          8,          8,        1,    67872, 16c0315c43427e7e6719806a89551703
10
+0,          9,          9,        1,    67872, c4d589c0ea4cdfc1dd6dff72084c61fd
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    69888, 85f08afadfd1204d4131b9ee9c8cc10b
2
+0,          1,          1,        1,    69888, f893de5432a082b3dffcf7499827f548
3
+0,          2,          2,        1,    69888, cb81e0d7b657bc5a4a9cf8ad75a76a77
4
+0,          3,          3,        1,    69888, 8a40842123965731c15fc23fb6366d1d
5
+0,          4,          4,        1,    69888, 09c6d92af14a3fcfb12705cd5da57f2a
6
+0,          5,          5,        1,    69888, 6bede4dc8770df534b599021b0425309
7
+0,          6,          6,        1,    69888, 334b0b0448e9e4e6a0cddcd2e3a0af3f
8
+0,          7,          7,        1,    69888, 09f491f0f3870ef96cff0384cd7183d1
9
+0,          8,          8,        1,    69888, c9e5f81186ac947a77b051c8f0e76eac
10
+0,          9,          9,        1,    69888, 917565c3327bff78b53a78ea739472ff
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    70560, 427421e5fd2087c6ff7b87a27982332f
2
+0,          1,          1,        1,    70560, b68311fd44e189e4174ac357d5415068
3
+0,          2,          2,        1,    70560, 2c822ff45be7a1ea412d21ff82c7bc1d
4
+0,          3,          3,        1,    70560, 34659186d93516eae1dd4d9a391d1c3f
5
+0,          4,          4,        1,    70560, 1990dd822abc3a10f511589db5aa50f4
6
+0,          5,          5,        1,    70560, 4a4dc076172c79d9fde3e17b47109835
7
+0,          6,          6,        1,    70560, 51874c79850120537fa5c405721d0107
8
+0,          7,          7,        1,    70560, 15d7897a128de9be90be17f1679012c9
9
+0,          8,          8,        1,    70560, a8d9480accf8585e94161a5f7c371cef
10
+0,          9,          9,        1,    70560, 8a9d3f09561b895b423ae9428f620b9b
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    75264, bedd5d2725ffff06a50e23841bc2dfb8
2
+0,          1,          1,        1,    75264, 8c363f68b0b30f507563516aa99e23ac
3
+0,          2,          2,        1,    75264, 9cb7d51ca4439614dc3f5980507a4d32
4
+0,          3,          3,        1,    75264, b393a18de28ab6b8d1c6afd67a7794e0
5
+0,          4,          4,        1,    75264, 81f69ee1e3d89cb78cac192c352f7741
6
+0,          5,          5,        1,    75264, aabb51f029a9a02e71524cf3500931e9
7
+0,          6,          6,        1,    75264, 6581aec620c508d2b42ccceaa2c6044d
8
+0,          7,          7,        1,    75264, 993cde759158c30dcf0f0a9fdcdfb0d8
9
+0,          8,          8,        1,    75264, 85985ae8d35514d601800a06c8226625
10
+0,          9,          9,        1,    75264, 0eba1d7c193e473586e4a5c87d0e0d21
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    75936, dca556e648a576b3973fbe4b34d0328c
2
+0,          1,          1,        1,    75936, 34a49e4aba4aca5c76ab0f751341c32b
3
+0,          2,          2,        1,    75936, 4b7cc6d500b273efe7e30fc3a3946f74
4
+0,          3,          3,        1,    75936, 1960f0f1edf9196c96b0de742a3cd53c
5
+0,          4,          4,        1,    75936, 3cb7d90178636911c5d53a5f8e75599c
6
+0,          5,          5,        1,    75936, 84b56c60c2282f85102048cc2cf40b88
7
+0,          6,          6,        1,    75936, 3ca34d2978307ec0fca05130d81bcc26
8
+0,          7,          7,        1,    75936, c15560be737e02ea9d1deeca0af9bb77
9
+0,          8,          8,        1,    75936, 391439789a6aa7bb02d7e699795a9559
10
+0,          9,          9,        1,    75936, 9f681e91cbcbe9920f21236b8ff093c7
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    66444, 4757a31842453f806de2f2256329547e
2
+0,          1,          1,        1,    66444, fe5fb955a4143091c5bfae7c4a4afe0f
3
+0,          2,          2,        1,    66444, 93766c5a03d71f99afb7705add7b63f0
4
+0,          3,          3,        1,    66444, 30c91162aa6fb0ed3e47325146bb6d8a
5
+0,          4,          4,        1,    66444, 501fe67785b970b1b62c2ae0b36b19ad
6
+0,          5,          5,        1,    66444, 836be5e778e3d20e75c4fcd71f765b3d
7
+0,          6,          6,        1,    66444, 21a9fd5e78212fe71719e173844bc6e6
8
+0,          7,          7,        1,    66444, 81b3919208e345d93dde62740b47dd93
9
+0,          8,          8,        1,    66444, df010555a929ba88a2f25c6267e3786e
10
+0,          9,          9,        1,    66444, d2cff8282e5e7a5bbd879c73df0670c3
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    67122, b97087eb8c53cf56dc44576912654fb2
2
+0,          1,          1,        1,    67122, 219bb68a59dc166806a5b5689a943b66
3
+0,          2,          2,        1,    67122, 67b2ec19dd3b74d828b51912c25249d6
4
+0,          3,          3,        1,    67122, 73dd9625538e10a0f94d31ac9fe3db23
5
+0,          4,          4,        1,    67122, 51e68f201130da18beb0cb27adcf6fa9
6
+0,          5,          5,        1,    67122, 455d9753b3c0ac5ad7d9da022f69acd0
7
+0,          6,          6,        1,    67122, 60a8905a63db4cdd2560583fb6415030
8
+0,          7,          7,        1,    67122, 48c156f4b2c9f936487b43713a4573fd
9
+0,          8,          8,        1,    67122, a5c8f4190cb34b3ecd42ca8e09bf1646
10
+0,          9,          9,        1,    67122, 233a5d5187137e047993532fc2e725d3
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    67800, 0ae27db338f73f37eaed806b1c789593
2
+0,          1,          1,        1,    67800, 3f69273752f43699a3bc7b22a88cc3aa
3
+0,          2,          2,        1,    67800, ce0dfafb59910241d2b1a2275a2c2143
4
+0,          3,          3,        1,    67800, 8d20f404e25766c819ee728858bcbc76
5
+0,          4,          4,        1,    67800, 67bc5604c5b0f6c3484b605c1f93c83a
6
+0,          5,          5,        1,    67800, 1c82def3a06430d205cce0db7b5714de
7
+0,          6,          6,        1,    67800, 654d7a676e3b8b64541ed8cdefbd7286
8
+0,          7,          7,        1,    67800, 6c80c78c7b652c5b3b117a0960e89951
9
+0,          8,          8,        1,    67800, ae73e3c69ec6747c5234d58c5e1e36eb
10
+0,          9,          9,        1,    67800, e40d716efd8caf2d4004d299fb914328
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    68478, 0cd2876640e71de3a6df7839bd6f0b51
2
+0,          1,          1,        1,    68478, f887db6839c0cddd1ea9ae6bfd2cc16d
3
+0,          2,          2,        1,    68478, ff2a890cf4c4973bf181ba8424c2eadc
4
+0,          3,          3,        1,    68478, f69f2e4f3036a21deb43a0bf4b95771f
5
+0,          4,          4,        1,    68478, 93f511739c19f1a3b356dda39d945c93
6
+0,          5,          5,        1,    68478, 7f79633c93765b504fef0324bd10fdba
7
+0,          6,          6,        1,    68478, d6c53d3937c9a40b227b4486452e0b33
8
+0,          7,          7,        1,    68478, 4e26625e8997ad6fe08ae68fbdfdbfd7
9
+0,          8,          8,        1,    68478, 3bf4c8ac0279351bf904cf57b0fc13c1
10
+0,          9,          9,        1,    68478, 12d64d856025185fa9e610dfa62b05af
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    70512, 6006cac6628cf9e7cea58aec07471b06
2
+0,          1,          1,        1,    70512, f7e994921248b6933920c984880ec96c
3
+0,          2,          2,        1,    70512, c0aeeb9d2009538d8d5e837f45e1542d
4
+0,          3,          3,        1,    70512, 7dacf9d00e85bd52045eb47bae5225b3
5
+0,          4,          4,        1,    70512, 024fd008a099ae954e38a3f0a8ebb6c9
6
+0,          5,          5,        1,    70512, fb6c368a1b3578ab59aa30e0b5cc4853
7
+0,          6,          6,        1,    70512, 07815251f7020b627c365a7a7be694c7
8
+0,          7,          7,        1,    70512, db8b8f48f3693867d2bd8208cf4f929a
9
+0,          8,          8,        1,    70512, 88b42d943c0978d832333a8a3f7b6bbc
10
+0,          9,          9,        1,    70512, 7aa760190f9328ba4f6fa87d1d9e8d3e
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    71190, a6c1b7686202f5cc64335f92be595309
2
+0,          1,          1,        1,    71190, 3e573d4c693a39c5d6cd46b8873e99bb
3
+0,          2,          2,        1,    71190, d2388f6f641c8ddec98f11493f1a1390
4
+0,          3,          3,        1,    71190, 16473e33532ebc8de2f02077c406346b
5
+0,          4,          4,        1,    71190, 6c75d1c01276838fce40837e373f49db
6
+0,          5,          5,        1,    71190, b718e7445e2b08dde78fa7f30be01346
7
+0,          6,          6,        1,    71190, 2f556ed5afd60b1bbae76984ce073107
8
+0,          7,          7,        1,    71190, 4e5d59daed044c39a14c35f18cb4fb7a
9
+0,          8,          8,        1,    71190, c14901a9906ffcd0eb1efc068ce32941
10
+0,          9,          9,        1,    71190, 3d73b7f87bcd16c1ec565b5cc8d0fe93
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    75936, 80fb3a643384386beadc0991f171669d
2
+0,          1,          1,        1,    75936, 65a4a51163f49a75f8eeecd94cb2ba47
3
+0,          2,          2,        1,    75936, d5b2aac9889d2991b83fd4360ada0258
4
+0,          3,          3,        1,    75936, 7958ff5535358567ea7df351d78256a7
5
+0,          4,          4,        1,    75936, 7e7413b9a61967d0ade07b81944e9a15
6
+0,          5,          5,        1,    75936, 40a008016adbf9673adbbc4c0edb4454
7
+0,          6,          6,        1,    75936, fef7b5e2809ef79917ab394a067ef4be
8
+0,          7,          7,        1,    75936, 91ee2360faf46a25b95927c55eea603f
9
+0,          8,          8,        1,    75936, a47f14a80a529f79f97accbe23188046
10
+0,          9,          9,        1,    75936, 3613bcd41ff13006fbba3bd0087c44f4
0 11
new file mode 100644
... ...
@@ -0,0 +1,11 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,    76614, f2370fc802dafdf5082beffc1907a9c6
2
+0,          1,          1,        1,    76614, aad6de7b986234a1d621935b272501c9
3
+0,          2,          2,        1,    76614, 8a6d3784e22e3b4f735e78916fbc3821
4
+0,          3,          3,        1,    76614, 0c4afce19c43fdf3bb1b972810cc9126
5
+0,          4,          4,        1,    76614, 814a68dd76a3135221131988910f51ba
6
+0,          5,          5,        1,    76614, b2379c4b28dca10e67ac58631f9731c0
7
+0,          6,          6,        1,    76614, b16fd651884340a428cea3fe0ac18ba6
8
+0,          7,          7,        1,    76614, cb65cd4c421cfd6a19fb123ec27abbe6
9
+0,          8,          8,        1,    76614, 7f1d2686b9808de8ecc723b18136d57d
10
+0,          9,          9,        1,    76614, da7fd4bff4b6db0221c42492876c5c4d
0 11
new file mode 100644
... ...
@@ -0,0 +1,51 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 043ce065a309514e1e8ebdcbb3c2458b
2
+0,          1,          1,        1,   152064, 8579c9cffd95b11db86158e518b2e34a
3
+0,          2,          2,        1,   152064, ebbba105e499604f5e69b8aa48fe86f4
4
+0,          3,          3,        1,   152064, b08526fab7e106021f9fb9b1e2d4b725
5
+0,          4,          4,        1,   152064, 92afa561d06f41ccc6d2e2bcc3ab2ee4
6
+0,          5,          5,        1,   152064, 50de8ec2db66c783289a3982dd1c4f97
7
+0,          6,          6,        1,   152064, c2ab55d114b8822adef06ccb093b5ac7
8
+0,          7,          7,        1,   152064, e3ee4edbe8a1f0b5486bbd8a52e7cbcb
9
+0,          8,          8,        1,   152064, fc33fd50566cd64e5b13911ee06c6e24
10
+0,          9,          9,        1,   152064, 05297e847f983a19fe2ba5e05932a110
11
+0,         10,         10,        1,   152064, d21db9adb27be89ab3b7f75d89175e24
12
+0,         11,         11,        1,   152064, 29bb87bdebd078f8dd953a70def6c4dc
13
+0,         12,         12,        1,   152064, c57f7bc772f6143a22edaf926f92de5f
14
+0,         13,         13,        1,   152064, 39f2fc755d4bc2cc5ec077035382be22
15
+0,         14,         14,        1,   152064, 000ec9c75374f6d74a5e61189e6fd782
16
+0,         15,         15,        1,   152064, 3027187c9bdb2a755d14513b7e597bb1
17
+0,         16,         16,        1,   152064, 2b3129659df2b3aa10b9398c50301e00
18
+0,         17,         17,        1,   152064, e23bcacf1cafca9a7959508b33e63907
19
+0,         18,         18,        1,   152064, fe0382dd155284998a0d7eb7effb5adf
20
+0,         19,         19,        1,   152064, e0a487860dd0df3d865971b483fab3e9
21
+0,         20,         20,        1,   152064, 7ca757c55b0ea4779cdfa3a535f8f234
22
+0,         21,         21,        1,   152064, 1a276d27f4ce0e2720e25dbed2e524ae
23
+0,         22,         22,        1,   152064, dd39bc322c8bdce196a9c2129bcb5d6e
24
+0,         23,         23,        1,   152064, 63e295427977d645462e0fb3277ccb53
25
+0,         24,         24,        1,   152064, e9a35655c71da22fb0c7865e0bbc91b8
26
+0,         25,         25,        1,   152064, 5903bcbccabb3366382b37bf08119dde
27
+0,         26,         26,        1,   152064, 3b6ce09353b07b193914a71ca2334d8c
28
+0,         27,         27,        1,   152064, cb3731eb5dbe338125c0a7d6b4bf2868
29
+0,         28,         28,        1,   152064, 0837c62b54912ed06f7f755894ad3f6b
30
+0,         29,         29,        1,   152064, 7f215dc14d8e280fc18ad3fb3122fa58
31
+0,         30,         30,        1,   152064, 6dafaf5adc45fead74f0153e3764b17d
32
+0,         31,         31,        1,   152064, e19c8274ee6377dbf005f6516a81c413
33
+0,         32,         32,        1,   152064, 358cbf29bd136d2f9dcb60ab82a2e9e5
34
+0,         33,         33,        1,   152064, 2276d4670ff35c3a76c27c3a5810eea3
35
+0,         34,         34,        1,   152064, 636dd3390d4011c377915d7d3acc9ee1
36
+0,         35,         35,        1,   152064, 5e7a1ed17d80168567d61987425f4e60
37
+0,         36,         36,        1,   152064, c10a4830c5f268888789fccd16c0cc0e
38
+0,         37,         37,        1,   152064, 298ef49418d730a031ff23311031c969
39
+0,         38,         38,        1,   152064, 4dd2249e13cda0f99fa46786d345c96a
40
+0,         39,         39,        1,   152064, 24232dbc6e35a069c60422c4c23dfa51
41
+0,         40,         40,        1,   152064, ae8751c5ac168d6aa4499fe69f018ae2
42
+0,         41,         41,        1,   152064, 6a3a7e60a569e7415f2c3a1453e4dc38
43
+0,         42,         42,        1,   152064, 5475af1c118d1b7cc0a357bc434241a8
44
+0,         43,         43,        1,   152064, c6b5ab39e630e66e8f09698fd1dfa160
45
+0,         44,         44,        1,   152064, f1c0310adf115456167e3fa790e43dde
46
+0,         45,         45,        1,   152064, 3028296307b47d10156fc9657693edc3
47
+0,         46,         46,        1,   152064, 0903dabcb8ac707b423b222ac5bb4898
48
+0,         47,         47,        1,   152064, 713cf71b994e2c85ed577062814c5732
49
+0,         48,         48,        1,   152064, 674f56b9cccf5c9d1f88f68c3996a671
50
+0,         49,         49,        1,   152064, f63732c2ff823960d8b62d866dfb5e6a
0 51
new file mode 100644
... ...
@@ -0,0 +1,51 @@
0
+#tb 0: 1001/30000
1
+0,          0,          0,        1,   152064, 20cf714300c5e28ffb77bf9c682129bc
2
+0,          1,          1,        1,   152064, 2f271e4de29f87d6b90511fbafdf9fbb
3
+0,          2,          2,        1,   152064, 2f271e4de29f87d6b90511fbafdf9fbb
4
+0,          3,          3,        1,   152064, a88532a043f5f2c6bce729a8e20ee5af
5
+0,          4,          4,        1,   152064, 8d7b5482ed8072a7c95d722783773436
6
+0,          5,          5,        1,   152064, 04872d8619b163b5e0c20123ccddf7dc
7
+0,          6,          6,        1,   152064, ed6d9677782aa6e87b6576541391557f
8
+0,          7,          7,        1,   152064, 689f71108e9bf024cd9ccf48800dcdc7
9
+0,          8,          8,        1,   152064, f7c555792884499bb62a8e739b201739
10
+0,          9,          9,        1,   152064, 7fed82c38ce428a178c56f6131eff5ec
11
+0,         10,         10,        1,   152064, fb638e587ade05baa027bb63edc7ec7c
12
+0,         11,         11,        1,   152064, 319295f5aa44661a80570e844050156a
13
+0,         12,         12,        1,   152064, de2d8635966a1cd57290eac449a5fb4b
14
+0,         13,         13,        1,   152064, 9ac3d711a00aac6b17004bb6451e4cab
15
+0,         14,         14,        1,   152064, d12eced084e72b5230493e2dc2de0f0a
16
+0,         15,         15,        1,   152064, 197761d11407fed723a63b8e28cb8e19
17
+0,         16,         16,        1,   152064, 28d8e807bc142b74f1eaf30dbbf1b7bd
18
+0,         17,         17,        1,   152064, abe88f1f7490d13ba3def9511beaceec
19
+0,         18,         18,        1,   152064, 7d297b9c989ebc0408fd41b14900242a
20
+0,         19,         19,        1,   152064, d5e7adfa2b207d860feff5c894585fd1
21
+0,         20,         20,        1,   152064, aea3e9de9de237f8379785f3467dc9c9
22
+0,         21,         21,        1,   152064, 211967cd949c1d83a8cc4c8267aa6034
23
+0,         22,         22,        1,   152064, 3f49bf8e0434114c15b867be2d53d283
24
+0,         23,         23,        1,   152064, 8d5e629c0e941ca6f049ed2bb59d09a8
25
+0,         24,         24,        1,   152064, d359620957877534bc94cd137dc652fe
26
+0,         25,         25,        1,   152064, 83610fc1969fdfa267e7e462a83ea40c
27
+0,         26,         26,        1,   152064, b2d20dcc3f77a238ce7210991eebe1a7
28
+0,         27,         27,        1,   152064, a42ad37808f30e1d968882bf42e9c641
29
+0,         28,         28,        1,   152064, 55084cded938266a2c3c658dcc162781
30
+0,         29,         29,        1,   152064, e392a3a7d33e10e95fc9cdf0a2080eac
31
+0,         30,         30,        1,   152064, 73977c4827463c17e63c0769bb90722f
32
+0,         31,         31,        1,   152064, cb5dd87344af7d6fd4ed0e06cb90d9c2
33
+0,         32,         32,        1,   152064, 533338860124e392cef2039468c22f75
34
+0,         33,         33,        1,   152064, da30f077490042367502a6fe11a46e0f
35
+0,         34,         34,        1,   152064, 860ab1bfbd3fe6a27bee5ef8c4e0b600
36
+0,         35,         35,        1,   152064, 339ec3863eaed689d46289ffe47bc45d
37
+0,         36,         36,        1,   152064, ffa6c990577093106796ed0dee56c483
38
+0,         37,         37,        1,   152064, 3fcdc2bc064c79f35ea81a715ff089d0
39
+0,         38,         38,        1,   152064, adaef9ec97e23a542db22bcd23c740bd
40
+0,         39,         39,        1,   152064, ddcff4bd2c9579181182eb49add0ce3c
41
+0,         40,         40,        1,   152064, b3b039c84cffb9272c8ddf9086748696
42
+0,         41,         41,        1,   152064, 70939a961ec1e4697031b79e7ebb7919
43
+0,         42,         42,        1,   152064, 6b3ff2e003749c6ffeb2d71edecf884c
44
+0,         43,         43,        1,   152064, 5e4efc96971f81218c472482b5a79374
45
+0,         44,         44,        1,   152064, 279132c87d81a5747824b06423bf6785
46
+0,         45,         45,        1,   152064, 1da48caa08007523aab41a56e21dd99b
47
+0,         46,         46,        1,   152064, 36ecffb2bfcb587d2556fddcbbd3448b
48
+0,         47,         47,        1,   152064, 7823e3c86cd5a05c2959c7ef4d1cfa89
49
+0,         48,         48,        1,   152064, b53f78afbad1a43f7aea58814eacd824
50
+0,         49,         49,        1,   152064, b9b127b4bc54123bec19b2f4a3fa157c
0 51
new file mode 100644
... ...
@@ -0,0 +1,3 @@
0
+#tb 0: 1/1000
1
+0,          0,          0,        0,  3110400, 1e6c2e768a5107e57e6d626f0511193a
2
+0,         40,         40,        0,  3110400, 972d3e2b5ee2e3b0907218a243e4cb7d